News

News

06. 七月 2022, 15:16 in 
In-situ monitoring of 2D materials epitaxy during chemical vapor deposition

Graphene and other sp2 -bonded 2D monolayer materials like hexagonal boron nitride (hBN) and tungsten disulfide (WS2 ) are opening up exciting new device and material possibilities. One of the most promising methods to synthesize them is thermal chemical vapor deposition (CVD). CVD process stepsprecursor dissociation, adsorption, surface diffusion, island nucleation and growth - are usually thermally activated. Therefore, a reproducible process temperature measurement is of paramount importance for the control and repeatability of the deposition. The process control frequently takes place after deposition by well-established ex-situ techniques like Raman spectroscopy, atomic force microscopy, etc. In contrast, LayTec’s in-situ metrology systems EpiTT and EpiCurve® TT can be integrated into a deposition reactor and thus enable close control of key parameters during epitaxial deposition of 2D materials. For materials being transparent at 950 nm like sapphire LayTec's EpiTT measures the temperature on the top Fig. 2 shows two runs of graphene growth on sapphire. In the first run (“no Graphene”) the substrate only underwent the thermal cycle with temperature steps at 1347°C and 1370°C but no precursor was injected into the chamber. In the run “1ML Graphene” the sapphire substrate was heated up to 1347°C and then to 1420°C when the deposition of 1ML graphene took place while admitting the precursor for 380s into the chamber. Then the precursor flow was stopped, and the reactor was cooled down. The 405 nm reflectance tends toward a maximum suggesting the existence of an energetic barrier for the nucleation of further monolayers. Fig. 3 illustrates the deposition of up to 3ML graphene. After the saturation of the first monolayer, between 1900s and 2100s, the reflectance remains constant despite the availability of the precursor because an energetic barrier prevents the nucleation of further monolayers. Then, the precursor partial pressure was increased allowing the controlled growth of the second and third monolayers. This process is mirrored by the increase in R405. To verify the results, ex-situ Raman spectroscopy measurements were performed which confirmed the presence of 1-2ML of graphene and 3ML of graphene on the two samples. Consequently, wafer temperature and surface coverage can be directly deduced right during deposition which ensures accurate process control. Moreover, measuring the variation of the surface reflectance during the growth reveals details on the deposition kinetics and enables a tighter control of the number of deposited monolayers already during the process. LayTec’s EpiTT and EpiCurve® TT allow for in-situ monitoring of 2D materials deposition and offer new means for controlling these complex processes thereby accelerating the research on 2D materials and the scale up for industrial production. Fig. 1 LayTec's EpiCurve® TT mounted on an AIXTRON CCS UVC reactor customized for 2D-material depositions (courtesy of AIXTRON Ltd.) side of the carrier. Reflectance is measured at 950 nm, 633 nm and 405 nm. If the optical contrast between substrate and epi-layer is sufficiently high, reflectance variations can also be measured during the growth of 2D materials with submonolayer coverage. In this case, the reflectance measures the surface coverage within the measurement spot, and its variation describes the different growth stages. At the 12th Graphene and 2D Materials Conference in Aachen in July 2022, LayTec's Marcello Binetti presented the latest results on characterization of 2D material growth, in particular insitu reflectance, temperature and wafer bow of graphene on sapphire during deposition. In this newsletter we highlight some of the results achieved by monitoring graphene CVD on a single side polished (ssp) sapphire in AIXTRON's CCS reactor (Fig. 1) and demonstrate how LayTec's metrology systems can help increasing reproducibility and yield in CVD production of 2D materials.

See the figures in the Newsletter

2022
all
09. 六月 2022, 09:22 in 
Optimizing epitaxial layer uniformity by combining in-situ and ex-situ metrology

The combination of ex-situ wafer mapping and optical in-situ measurements during metal-organic vapor phase epitaxy (MOVPE) for laser devices constitutes a powerful method for obtaining maximum layer and die uniformity across the wafer for production of devices such as vertical-cavity surface-emitting lasers (VCSELs) or edge-emitting laser structures. This uniformity directly increases the yield in subsequent device processing with a strong cost impact. Here, ex-situ mapping measurements by means of white light reflectance (WLR) and photoluminescence (PL) are well-established methods to ensure sufficient uniformity prior to further processing in expensive dicing and packaging processes. Unfortunately, they do not directly disclose the root-cause of inhomogeneity that might be observed in these measurements. In contrast, in-situ measurements by means of emissivity-corrected pyrometry (ECP), reflectance and curvature directly reveal the effect of certain process parameter changes like heater or gas flow settings on the wafer temperature or layer composition. However, they never cover the entire wafer area and do not probe the layers under device operating conditions. Therefore, only a smart combination of both methods constitutes an efficient way for identifying the parameter mostly affecting the uniformity and tuning the process towards the most uniform layer properties across the wafer for cost-effective production.

Dr. André Maaßdorf et al. at the Ferdinand-Braun-Institute (FBH) established this approach by combining LayTec’s EpiX mapping station (Fig. 1a), b)) and LayTec’s in-situ measurement tool EpiCurve TT AR VCSEL+ (Fig. 1e)) for optimizing the gas flow profile and the susceptor configuration at their AIX 2800 G4 IC2 (12 x 4”) epitaxial deposition system for AlInP/AlGaInP edge emitting diode lasers. The EpiCurve TT AR VCSEL+ combines curvature, three-wavelengths reflectance and emissivity-corrected pyrometry (ECP) of an EpiCurve TT with additional spectral reflectance capability. The EpiX-Mapper equipped with WLR and PL was deployed for wafer-mapping to deduce properties like layer thickness and emission wavelength. At the beginning of the optimization process a significant deviation in layer thickness (rel. deviations ≤8%) was observed (Fig.1c). Moreover, in-situ ECP data obtained during deposition (Fig. 1d) revealed that the wafer temperature profile also exhibited a similar non-uniformity at the edges and further in the wafer center.

 

See the figures in the Newsletter

2022
all
13. 五月 2022, 09:57 in 
Product Discontinuation Notification - 05/2022
2022
all
29. 七月 2021, 18:03 in 
In-line thickness monitoring during R2R OLED and OPV manufacturing

LayTec extended the capabilities of its metrology system Flames to the particular requirements of coating processes for OLED and OPV devices. In the EU-funded research project Smartline, LayTec and its partners developed a fully integrated metrology system for in-line monitoring of these processes. Fig. 2 (right) shows a typical 3-head Flames system mounted in a roll-to-roll (R2R) coating system as well as exemplary data for the deposition of the 50 nm electron-transport layer onto PET coated with IMI (ITO-metal-ITO) layers (left). The thickness could be controlled in a very narrow window with a standard deviation as low as ±1.6 nm. A “thickness excursion event” around measurement 3200 was also clearly resolved. With this system LayTec’s partner OET (www.oe-technolgy.com) was enabled of monitoring the layer thickness of the various OLED and OPV organic layers. For further information please visit laytec.de/flames and refer to the latest application note about OLED and OPV in-line monitoring.

See the figures in the Newsletter

2021
all
29. 七月 2021, 18:00 in 
LayTec to supply two InspiRe systems for in-situ monitoring of perovskite formation to Helmholtz Innovation Lab HySPRINT at Helmholtz-Zentrum Berlin

LayTec received purchase orders for two of its novel InspiRe in-situ reflectance metrology systems from Helmholtz Innovation Lab HySPRINT at Helmholtz-Zentrum Berlin. The systems will be applied for the in-situ-monitoring of perovskite formation processes. One system will be installed on a PVD chamber for perovskite vacuum deposition whilst the other will be used for analyzing the reaction kinetics of perovskite spin-coating and annealing processes. Helmholtz-Zentrum Berlin and LayTec also agreed to a bilateral cooperation agreement focusing on the joint development of advanced methods for the in-situ monitoring of perovskite formation under various process conditions. Up to now already several joint publications have been published pointing out the enormous potential of in-situ metrology for understanding the underlying processes of perovskite formations. In Fig.1 left, a) to c) results of the influence of the so-called “anti-solvent drip” on the spin-coating of MAPBr:FAPI solution (1:5) + 5% CsI (3CAT) are shown, which were presented on the European Photovoltaic and Solar Energy Conference (EUPVSEC) 2020. Additionally, a cover picture on the May issue of physica status solidi b was awarded to a joint article (doi.org/10.1002/pssb.202000479) of HySPRINT and LayTec (Fig.1, right). For further information please visit laytec.de/inspire and refer to the latest perovskite application note.

See the figures in the Newsletter

2021
all
22. 三月 2021, 14:10 in 
Commissioning of fully integrated combined in-situ and in-line metrology system at ZSW Stuttgart

In February 2021, the first combined PearL / t-PearL system was successfully installed and commissioned at the Zentrum für Sonnenenergie- und Wasserstoffforschung Baden-Württemberg (ZSW) in Stuttgart, Germany. The materials research team at ZSW will use this fully integrated metrology system in combination with various in-situ and in-line metrology methods to monitor and control the process development of the CIGS solar cells. The target is to further develop and improve the efficiency of CIGS thin film solar cells to values above 25% – i.e. beyond the current world record value of 23.4% – and to understand the mechanisms governing the performance of these devices. Therefore, a new vacuum cluster system combining two RIBER MBE 412 Molecular Beam Epitaxy (MBE) chambers and a VON ARDENNE Physical Vapor Deposition (PVD) chamber has been designed. Riber`s vacuum cluster system is a fully automated system which includes a RIBER central UHV cluster robot for transferring samples between all chambers. It is worth emphasizing that all LayTec systems have been fully interfaced into RIBER’s Crystal XE control software for acquisition control and data recording. That enables for a quick and easy access to the relevant analytic parameters of each processed samples in Crystal XE software during or after thin film depositions for real-time monitoring or for later analysis. With reference to metrology methods, this cluster system employs LayTec`s InspiRe system for in-situ reflectance measurements in the MBE chamber during CIGS coevaporation.  Moreover, two EpiTT systems are integrated for monitoring the CIGS co-evaporation and the post-deposition treatment in-situ. Additionally, a combined PearL / t-PearL has been integrated in the transfer chamber between the RIBER MBE chamber and the VON ARDENNE PVD chamber. As a result, samples can be analyzed by both PL methods directly after CIGS deposition or post-deposition treatments as well as before and after TCO deposition. The resulting in-depth understanding of the CIGS material will enable further improvement of CIGS solar cells aiming at even more efficient CIGS record devices.

See the figures in the Newsletter

2021
all
22. 三月 2021, 13:45 in 
PearL and t-PearL – comprehensive photoluminescence characterization of compound semiconductor thin films

The transfer of Cu(In,Ga)Se2 (CIGS) thin film photovoltaics from R&D to the gigawatt production scale leads to a growing demand for fully automated process control methods. To support this development, LayTec is proud to announce the extension of its portfolio of photoluminescence (PL) products for thin film semiconductor characterization. The spectral PL (sPL) product PearL has been successfully applied for several years for in-line production control of Cu(In,Ga)Se2 (CIGS) thin film photovoltaic modules. Here, sPL allows for the spectral analysis of the effective CIGS band gap energy which is directly correlated to the [Ga]/([Ga]+[In]) atomic ratio (GGI). Furthermore, the PL signal also reveals information about the electronic quality of the CIGS hin films. Now t-PearL is specially designed for complimentary characterization of semiconductor thin films by means of timeresolved photoluminescence (TRPL). In contrast to sPL, TRPL investigates the time-resolved decay of the photoluminescence intensity at a fixed wavelength range. Thereby, it directly delivers key figures on the charge carrier lifetime of the semiconductor.
Particularly, for thin film photovoltaic materials such as CIGS, CdTe and perovskites, this method has been well established in recent years in the research community but until today no commercial setup for integrated measurements in deposition chambers or production lines has been available. With t-PearL, this powerful metrology method can now be integrated directly in the deposition environment for measurement of carrier lifetimes as low as 5 nanoseconds. By vacuum integration it is also possible to investigate pristine thin films which have not yet been exposed to ambient conditions. For a most complete sample characterization PearL and t-PearL can be combined into one single system. Additionally, both methods are available as metrology components in LayTec’s integrated combined inline metrology stations ILMetro as well as in the stand-alone mapping stations EpiX. Modified versions for longer lifetime materials such as silicon are available on request.

See the figures in the Newsletter

2021
all
04. 一月 2021, 13:20 in 
A smooth transition in leadership – towards the new management board at LayTec

Sometimes in big politics a smooth transition in leadership is not that easy. At LayTec, however, we are well on track and the internal transition phase has been ongoing since several months: Thomas Zettler, major founder of LayTec, is to step down as CEO and president of LayTec AG at the end of this year. We are pleased to announce that, in alignment with a sustainable long-term plan for internal succession, Volker Blank and Kolja Haberland will join the management board of LayTec effective January 1st, 2021. Volker, LayTec’s designated CEO, has been working for LayTec since 2012. Kolja, as cofounder, has been with LayTec since 1999 and will continue working as CTO. Both are familiar not only with the team but also with the needs of the markets and the customers. With Volker and Kolja building on what LayTec has already achieved, we are confident that LayTec will further strengthen its market position and continue our tradition as highly innovative company always providing the best possible solutions for customers and stakeholders alike. For supporting this process, Thomas will continue working for LayTec in an advisory role as “Vice-President Strategic Business Development”.

See the figures in the Newsletter

2021
all
28. 十月 2020, 13:20 in 
LayTec’s EpiNet Introduction

Would you like to learn more about LayTec's EpiNet software? Then join us for our webinar on 10th or 12th of November!

In this free webinar you will get an introduction to all key functionalities and updates – a great way to learn about the possibilities of this software.

The topics include:

  • A brief summery how EpiNet acquires data by using synchronized data for one full susceptor rotation
  • What you can learn from the time resolved in-situ data across all wafers
  • How wafer zone separation improves data analysis
  • How to verify correct settings and calibration
  • How to use the EpiNet Analysis recipe in remote selection by StepCode
  • EpiNet data analysis as offline and automated online
  • How to use the EpiNet analysis models
  • What is new in EpiNet 2020
  • Next steps: how to get your free time limited EpiNet 2020 demo package for testing on your computer with your own data

 

There will be 2 sessions

•    10th November 9am CET for our customers located in Europe and Asia

•    12th November 5pm CET for our customers located in America and Europe

For registration, please complete your online registration by November 8th. There will be limited capacity for this webinar so secure your spot early!

2020
all
26. 八月 2020, 15:22 in  Company News/ Research/ Laser/ LED/
Windows Security Update renders EpiNet
2020
all 61, 60, 57, 56,
26. 八月 2020, 15:22 in  Company News/ Research/ Laser/ LED/
AbsoluT - spare part discontinuation
2020
all 61, 60, 57, 56,
20. 五月 2020, 16:43 in  Company News/ Research/ Laser/ LED/
EpiTT FaceT – comprehensive process control of laser facet passivation in MBEs

In the January edition of our newsletter (01/2020) we reported on EpiTT FaceT, a temperature metrology system specifically designed for improving the yield of facet coating processes in large production MBEs for highpower GaAs-based lasers. This time we share the latest data on the EpiTT FaceT’s comprehensive performance: beyond facet temperature sensing in the range between room temperature and 400°C we added the real-time in-situ thickness sensing of the nanometer-scaled ZnSe facet coating layers. Fig. 1a shows the increase of ZnSe thickness (d) on the facets as measured with a resolution better than 0.5nm by short-wavelength reflectance during the MBE process. Fig. 1b demonstrates the response of facet temperature to heat transfer from the MBE sources during coating. This comprehensive and precise process control is vital for preventing catastrophic optical damage (COD) in the laser devices.

See the figures in the Newsletter

2020
all 61, 60, 57, 56,
20. 五月 2020, 15:59 in  Company News/ Research/ Laser/ LED/
EpiTT Band Edge – ZnO substrate temperature and growth rate control in MBE

The group of Prof. C. Meier at the University of Paderborn focusses on modern methods of nanofabrication and nanotechnology with the aim of developing novel devices for photonics, plasmonics and nonlinear processes. In a recent project they grow Zinc oxide (ZnO) structures in MBE both by homoepitaxy on ZnO substrates and by heteroepitaxy on sapphire and silicon. LayTec supports this research with a newly developed version of its EpiTT Band Edge that combines long-wavelength (1550nm) pyrometry with shortwavelength ZnO band-edge temperature sensing as well as with 405nm in-situ reflectance. While temperature sensing on silicon substrates and growth rate measurements during growth of ZnMnO/ZnO heterostructures are covered by the EpiTT’s standard performance, Fig.2 shows the outstanding performance of EpiTT Band Edge even during ZnO/ZnO homoepitaxy: the temperature of the ZnO substrate is measured throughout the process by the integrated Band Edge temperature module and 405nm reflectance gives access to homo-epitaxial growth rate. At this wavelength, located close to the ZnO band edge, the tiny (±0.5%) Fabry-Perot oscillations of ZnO grown on ZnO (with different doping levels) can be resolved.

See the figures in the  Newsletter

2020
all 61, 60, 57, 56,
16. 四月 2020, 14:08 in  Company News/ Research/ PV/ Laser/ LED/
EpiCurve® TT: AlInN composition control for III-Nitride VCSELs

High-efficiency and high-power operation have been recently demonstrated for blue GaN-based verticalcavity surface-emitting lasers (VCSELs) with AlInN / GaN distributed Bragg reflectors (DBRs) [1]. These AlInN / GaN DBRs are used at the front (emitting) side of the VCSEL that emits through the GaN substrate and is completed by a second, dielectric DBR at the VCSEL’s back-side. Hence, perfect lattice match of the AlInN in the front DBR is essential for enabling extremely low-defect InGaN layers in the active zone of the device that is grown on top of the AlInN / GaN DBRs.A recent paper of Meijo University demonstrates, that LayTec’s EpiCurve® TT metrology is a powerful tool to reveal and control the AlInN strain and alloy compositions at accuracy levels of XRD already during growth of the epitaxial layers. We highly recommend the reading of [2].

See the figures in the  Newsletter

2020
all 61, 60, 59, 57, 56,
16. 四月 2020, 14:08 in  Company News/ Research/ PV/ Laser/ LED/
LayTec is ongoingly keeping support services on duty

The coronavirus outbreak is having a growing impact on all our industry and research community. Of course, LayTec has been directly impacted by local restrictions on movement, and also indirectly by other changes affecting our customers, suppliers and research partners. Despite these circumstances we continually aim to provide safe, dependable and reliable products and 100% service availability. As most of our customers and partners, we do our best to keep operations running for you. Currently at LayTec, our main concern is for the health and safety of our employees. Therefore, we strictly apply “social distancing” and implemented even tighter personal hygiene guideline into our office and production procedures. We suspended all business traveling and customer visits until further notice, to minimize risks to our customers and employees. However, as part of our commitment to providing world-class engineering products and support, we are continually developing innovative methods to effectively support our customers. Please, see the new tools and platforms for remote delivery of all our former on-site support services.

See the figures in the  Newsletter

2020
all 61, 60, 59, 57, 56,
12. 三月 2020, 09:04 in  Glass/ Company News/ Research/ PV/ Laser/ LED/
InspiRe – LayTec’s new in-situ monitoring tool for Perovskites

Recently, the efficiency of perovskites photovoltaics (PV) has increased tremendously [1]. In a tandem configuration at Helmholtz-Centre Berlin (HZB), even the best silicon
solar cell was surpassed (29.1%) using wet-chemical lowcost methods [1, 2]. Despite this progress many challenges remain for this technology. LayTec’s new InspiRe (Fig. 1) insitu
metrology system applies high-speed in-situ reflectance measurements for monitoring perovskite thin film formations during spin-coating and subsequent annealing. In collaboration with Prof. Dr. Norbert Nickel’s group at
HZB, LayTec designed the InspiRe in-situ metrology system, which was applied to monitor both, spin-coating (Fig. 2) and annealing (Fig. 3). Gathering data at a time resolution on the millisecond scale allows to resolve the kinetics and phase formations during film formation. While spin-coating the absorption behavior and the
thinning of precursor solution is monitored. The absorption edge, i.e. band gap, of the deposited perovskite film is directly derived during annealing. Spectral changes during annealing indicate “over-annealing” after the desired band gap has been achieved. This methodology, for the first time, allows to systematically study film formation during two
crucial process steps for identifying optimization routes and for implementing a rigid quality control scheme for upscaling and industrialization. In an upcoming publication, the HZB researchers will present their analysis of the perovskite formation based on measurements employing LayTec’s InspiRe metrology system.

See the figures in the Newsletter

2020
all 62, 61, 60, 59, 57, 56,
16. 一月 2020, 15:08 in  Company News/ Power Electronics/ Laser/ LED/
Major laser supplier chooses EpiTT FaceT for yield improvement

It has been demonstrated for edge-emitting GaAs-based lasers that the threshold for catastrophic optical mirror damage at the laser facets can be improved to levels higher even than the bulk damage threshold by ZnSe facet passivation in MBE [1]. For high-yield processes of facet plasma cleaning and passivation with ZnSe in MBE, however, it is vital to keep the temperature of GaAs laser facets at a highly stable and accurate set-point. This has been a challenge for many years because the radiative transfer from the MBE heater to the multi-laser stacks is subject to several parameters that are not easy to control (like thermal contact resistance between the laser stacks and their fixtures, the absorption coefficient of fixtures and carriers that varies with their lifetime, etc.). EpiTT FaceT is a temperature metrology system specifically designed for improving the yield of the facet coating process in the temperature range between 150°C and 400°C. A major supplier of industry-class high-power lasers has recently acquired an EpiTT FaceT for MBE facet coating in massproduction of multi-laser stacks. Fig. 1 shows the long-term stability and low noise of the EpiTT FaceT’s temperature reading of a selected single stack of lasers out of a set of laser stacks. The multiple stacks of lasers were mounted in fixtures on a carrier device in the MBE chamber under conditions identical to the real production process.

See the figures in the Newsletter

2020
all 61, 58, 57, 56,
16. 一月 2020, 15:07 in  Company News/ Power Electronics/ Laser/ LED/
EpiTT: optimizing MBE growth of InP-based quantum cascade lasers

In their recent MBE research project, P. Gutowski et al. from the Institute of Electron Technology in Warsaw focused on optimization of growth conditions of MBE-grown InP-based quantum cascade lasers (QCLs) [2]. For this purpose, their Riber Compact 21T MBE-System was equipped with an EpiTT
3W to perform in-situ analysis of the surface morphology and layer thickness. The EpiTT delivers reflectance at 950nm, 633nm and 405nm as well as surface temperature. Fig. 2 demonstrates that reflectance measured by the EpiTT in-situ metrology tool is highly sensitive to defectdriven surface morphology changes during growth of these rather thick waveguide layers, especially at 405nm and 633nm. The in-situ data in Fig. 2a show a clear decrease in all three reflectance signals at 520°C growth temperature while Fig. 2b verifies that the surface of the wave-guide layers stays smooth under optimized growth conditions at 480°C. The QCLs grown using the new recipe have shown lower threshold currents and substantially improved slope
efficiency.

See the figures in the Newsletter

2020
all 61, 58, 57, 56,
28. 十一月 2019, 10:29 in 
EpiTT VCSEL – improved in-situ prediction of VCSEL emission wavelength

The target specifications for VCSEL emission wavelengths currently lie within the ±0.5nm accuracy range. Consequently, for yield prediction based on spectral in-situ sensing an even better wavelength accuracy is needed. LayTec has recently developed advanced algorithms for dynamic cavity dip analysis during p-DBR growth that take into account the specifics of wafer rotation in planetary reactors and apply advanced filtering and averaging procedures. The resulting ±0.2nm accuracy in in-situ
measurement of high-temperature cavity dip positions during the final periods of the p-DBR allows for a highly precise prediction of the VCSEL emission wavelength. Fig. 2a gives an example in-situ reflectance color plot with the cavity-dip clearly visible during p-DBR growth. Fig. 2b shows more results from this VCSEL run: R2=0.999 correlation between the in-situ measured cavity dip position during the final 10 periods of the p-DBR and the emission wavelength as measured by electro-luminescence (EL) at room-temperature after the run. A deeper insight into the origin of the wavelength distribution can be gained
by correlation of these high-accuracy cavity dip positions with wafer temperatures and satellite numbers.

See the figures in the Newsletter

2019
all
28. 十一月 2019, 10:25 in  Company News/
Vertical cavity surface emitting lasers (VCSELs): LayTec’s new EpiTT VCSEL UV

LayTec has added to its EpiTT VCSEL family the next in-situ metrology instrument: the new EpiTT VCSEL UV combines spectral sensing of UV-vis reflectance (300nm–800nm) with the well-known capabilities of EpiTT regarding wafer temperature sensing and high-accuracy multi-wavelength growth rate measurements.
Prof. Andrei Vescan and his team at RWTH Aachen are using this new metrology tool for accelerating their projects for GaN-based optoelectronic device growth. Fig. 1 shows the spectral in-situ reflectance signature of an AlGaN/GaN distributed Bragg reflector (DBR) as it is used in a novel type of GaN-based modulator devices combining epitaxial III-N bottom DBRs with dielectric top DBRs. This project has been funded by BMBF (Next-Generation LED - 13N14223/13N14224).

See the figures in the Newsletter

2019
all 61,
04. 七月 2019, 14:39 in  Company News/ Research/ Power Electronics/
In-situ metrology enabling InP-based MOCVD on Si / SiO2 substrates for silicon photonics

Jean Decobert of III-V labs (France) presented latest results on application of in-situ metrology for integration of III-V processes into silicon photonics structures. The regrowth of ternary and quaternary layers on Si / SiO2 / InP is challenging because of different strain and wafer temperature conditions as compared to InP substrates.

See the figures in the Newsletter

2019
all 61, 60, 58,
04. 七月 2019, 14:39 in  Company News/ Research/ Power Electronics/
Short wavelength (280 nm) reflectance enables quantitative analysis of UV LED growth

Arne Knauer of Ferdinand-Braun-Institute (Germany) highlighted the advantages of the new 280 nm reflectance capability of EpiTT. With newly developed analysis algorithms (available with EpiNet 2020) he was able to significantly speed up his research work on novel UV-LED device structures.

See the figures in the Newsletter

2019
all 61, 60, 58,
04. 七月 2019, 14:39 in  Company News/ Research/ Power Electronics/
DBRs for VCSELs and SESAMs: combining in-situ and ex-situ metrology

Jaime Beltran of LayTec reported on the latest progress in spectral sensing. Using DBR growth for 1040 nm SESAM (semiconductor saturable absorber mirror) as an example, he highlighted that the combined use of LayTec’s in-situ metrology with LayTec’s ex-situ EpiX mapping stations enables completely new and comprehensive access to growth details of highly complex device stacks.

See the figures in the Newsletter

2019
all 61, 60, 58,
21. 二月 2019, 09:01 in  Company News/ Research/ Power Electronics/
EpiX – a modular wafer mapping station for compound semiconductor research

The EpiX wafer mapping station is the latest LayTec product for compound semiconductor R&D. Currently, there is an ongoing boom in MOCVD grown III-V lasers, with a respective revival in related material research. LayTec already supports this with new in-situ metrology products such as EpiTT VCSEL or EpiTT FaceT and EpiX is next. The first EpiX station was installed at a customer site in mid-2018. It combines white light reflectance with photoluminescence (PL) measurements, and thus allows for a comprehensive 2D analysis in a wide spectral range (400 nm to 1700 nm). Up to four PL excitation wavelengths can be combined
with an optional eye-safe plug-in interface for customer’s additional external excitation lasers. Integrated software provides full data analysis, including automated detection of VCSEL optical parameters (cavity-dip, stop-band position), single layer and multiple layer thickness fits, film composition and multiple-peak analysis. Moreover, customers benefit from sample’s statistics and pass/fail classification on wafer-level and die-level.

The basic design feature of EpiX mapping stations is modularity and customizability in hardware and software. This is key for customers regularly adjusting their research projects to new materials and latest nano-science concepts. Hence EpiX is designed as a long-lasting workhorse with multiple upgrade options: more optical heads (wafer bow, reflectance-anisotropy, sheet-resistance, wafer thickness, optical transmission), extended wavelength range, software interfaces (e.g. for user-owned spectral analysis libraries) and for using insitu data measured during epitaxy in center of wafer as starting point for post-epi 2D mapping analysis.

See the figures in the Newsletter

2019
all 61, 60, 58,
17. 十二月 2018, 17:51 in  Company News/ Laser/ LED/
GaAs based edge-emitting high-power IR lasers – yield ramp-up by EpiTT FaceT

Precise control of laser facet temperature during facet passivation and coating of stacked GaAs-based laser bars in an MBE chamber is a challenge. Until recently, the process temperature of laser facets was only estimated indirectly by the temperature of the heater, although it is a known fact that this method cannot provide the real temperature of the facet surface (see Fig.2).Consequently, deviations in this temperature significantly affected process quality (facet cleaning and facet passivation) and production yield. As a solution to this problem, LayTec has developed the EpiTT FaceT – a new in-situ spectroscopic metrology tool which is capable of measuring the temperature of GaAs laser facets during cleaning and passivation (accuracy ± 1K from room temperature up to 400°C) in conjunction with real-time sensing of the ZnSe passivation layer thickness (0 - 50nm). Two EpiTT FaceT systems, capable of monitoring the facet temperature in multi-stack configurations of laser bars at rotating platens in MBE, have been already installed at customer site in 2018 and a third one is scheduled for shipment to a leading industry customer in 2019 / Q1.

See the figures in the  Newsletter

2018
all 61, 57, 56,
17. 十二月 2018, 17:51 in  Company News/ Laser/ LED/
EpiTT Band Edge – for MBE of InP based VCSEL devices

LayTec is proud to announce the shipment of its new metrology tool “EpiTT Band Edge” to the Walter Schottky Institute (WSI) in Munich. The tool is specially designed for MBE of InP- and GaAs-based device structures. Researchers in Prof. M.-C. Amman’s group at WSI are growing InP-based VCSELs in several MBE chambers in close collaboration with partners from the laser industry. Hence, rather unusual for university institutes, accurate chamber-to-chamber matching and extreme run-to-run reproducibility is a must. Since last September, after shipment and installation of an EpiTT Band Edge, finally all MBE systems at WSI are equipped with EpiTTs for highly accurate growth-rate control during VCSEL epitaxy (utilizing automated pyrometric Fabry-Perot analysis) and Band Edge wafer emperature sensing. Accordingly, all MBE systems are always running at exactly the same absolute substrate temperature scale. Band Edge temperature sensing is a new Add-On option to EpiTTs and is designed for seamless integration into the EpiTT fiber-optic head technology. Using the substrate heater radiation as light-source, the optimum temperature range is 350-600°C for InP wafers (see Fig. 1, reproducibility is ± 1K) and covers well the growth conditions of InP VCSEL devices for ultrafast data communication and gas sensing applications.

See the figures in the  Newsletter

2018
all 61, 57, 56,
30. 十一月 2017, 13:10 in  Company News/
LayTec joins m-u-t Group

We are happy to inform you that as of November 30, LayTec has become part of m-u-t-Group.
LayTec will keep operations, management and brand unchanged. This acquisition will not affect the quality of our customer-relations and our network of sales and service partners. All contacts will remain as is.

m-u-t is a public German mid-sized financial holding, listed on the German Scale index. m-u-t focuses on optical measurement technology and thus, LayTec is a perfect fit in the portfolio. To learn more about m-u-t, please visit https://www.mut.ag/

The LayTec team is looking forward to working with m-u-t as we see a lot of synergies especially in technology development. This is one of several aspects you soon will profit from due to the fruitful collaboration between LayTec and the other m-u-t Group member companies.

2017
all 61,
19. 十月 2017, 15:27 in  Company News/ PV/
In-line metrology for ARC coating on structured PV glass

In Q2 / 2017 LayTec received a major order from a glass manufacturer in Europe. The multi-head LayTec Flames inline metrology system is equipped with newly developed analysis algorithms for SPC of the sol-gel AR coating on structured PV glasses. Despite the challenging task of measuring on the 3D micro-patterned surface of the glasses, the thickness uniformity of the AR coating is detected by the multiple-head system with nanometer accuracy in robust 24 / 7 operation. Both porousity and film thickness of the ARC is determined for feed-forward control through the customers MES system. Fig. 2a gives a sketch of the glass surface and Fig. 2b is an example analysis where the measured spectral reflectance (blue line) is nicely fitted by the model fitting curve (red line). The measured effective porousity and optical thickness serve as SPC control parameters for the manufacturing line.

See the figures in the  Newsletter

2017
all 61, 59,
19. 十月 2017, 15:16 in  Company News/ PV/
ILMetro – in-line metrology station for CdTe based thin-film PV

LayTec proudly announces the shipment of two ILMetro in-line metrology stations to our Chinese customer CTIEC. CTIEC establishes a thin-film PV production line in Chengdu (PR China) based on a technology developed by its German subsidiary CTIEC / CTF Solar. The two 24 / 7 ILMetro inline metrology stations are fully integrated into the fab’s manufacturing line and MES system (Fig.1). Station #1 ensures 100 % quality control of the incoming TCO coated solar glass substrates. It hosts a Suragus EddyCus in-line sheet-resistant unit and a LayTec Flames spectroscopic multi-head reflectance and transmittance system. Combining Eddy-Current and spectral-optical methods provides full and automated SPC of all key performance parameters of the TCO glass. The measured SPC data of station # 1 are forwarded both to the fab’s MES system and to station #2. ILMetro station #2 combines a LayTec Flames with a LayTec SolR® metrology system. Multiple optical heads are detecting IR and vis-NIR spectral reflectance from the front side and from the back side of the CdTe thin-film PV modules. The resulting thickness uniformity of the CdTe absorber layers and of the very thin CdS buffer layers again are permanently reported with high accuracy to the fab’s MES system. LayTec’s ILMetro in-line metrology stations meet the IP54 and CE standards and are equipped with the necessary multiple interfaces for communication with the conveyor systems, third-party visual inspection systems as well as the fab’s MES and LAN automation system. Remote service and software maintenance is provided through firewalled LAN/www.

See the figures in the  Newsletter

2017
all 61, 59,
21. 九月 2017, 15:04 in  Company News/ Laser/
In-situ metrology system shipped to GaN-on-SiC customer

A comprehensive EpiCurve® TT / Pyro 400 in-situ metrology hybrid-system has recently been shipped to a North American industry customer. This metrology station combines automated in-situ wafer bow and film thickness measurements with two pyrometry wavelengths: NIR at 950 nm and near-UV. In GaN-on-SiC and GaN-on-Si MOCVD technology, the growth of sophisticated nucleation and strain management layers is essential for the quality of the material and the performance of the final devices. At the same time, these layers are a challenge for highly accurate wafer temperature control: IR light from other hot parts of the reactor is scattered into the NIR pyrometer and causes Fabry-Perot artifacts, affecting its precision. However, the GaN buffer specifically emits thermal radiation in the near UV and the temperature measured with Pyro 400 is not affected by the NIR thermal radiation scattered by the buried functional layers. Therefore the combination of NIR pyrometry with Pyro 400 allows to control the wafer temperature precisely during the whole deposition process.

For more information please download our  Newsletter

2017
all 61, 57,
21. 九月 2017, 15:01 in  Company News/ Laser/
EpiTT VCSEL – shipments to leading VCSEL manufacturer

Last year we reported the first sale of EpiTT VCSEL for InP based epitaxy to a European lead customer. In Q2 / 2017 we finished the development of the respective tool for GaAs based VCSEL growth. The next two systems will be shipped in September 2017 to a leading VCSEL manufacturer in the USA. EpiTT VCSEL adds full spectral capabilities to the established performance of EpiTT (wafer temperature sensing at 950 nm and growth rate measurement based on 3 wavelength reflectance). Two spectral ranges can be chosen: 630 nm - 1100 nm for GaAs based NIR VCSEL epitaxy and 1000 nm - 1700 nm for InP based IR VCSEL processes. Either system delivers direct access to cavity dip position and stop-band wavelength control already during MOCVD. The cavity dip position is extremely sensitive to the accurate
thickness and composition of QWs (quantum wells) / QBs (quantum barriers), oxidizing AlAs and other functional layers in the cavity. For optimum laser performance, this cavity dip position has to be in exact correlation to QW emission wavelength. Here another strength of EpiTT VCSEL comes into play: tight control of wafer temperature for highly precise QW composition. However, during cavity growth for some VCSEL designs, the standard wavelength of the pyrometer overlaps with the high reflectivity band of the underlying n-DBR (n-doped distributed Bragg reflector), causing high reflectance and hence low thermal emissivity of the wafer. Therefore, we added the possibility to customize the pyrometer wavelength of the EpiTT VCSEL. A second pyrometer module can be chosen between 810 nm, 850 nm, 980 nm. This allows accurate wafer temperature measurement for a wide range of VCSEL MOCVD processes.

2017
all 61, 57,
21. 二月 2017, 12:49 in  Company News/ Research/
Optimizing quantum cascade laser (QCL) epitaxy with EpiCurve® TT

New in-situ results by Dr. Christine Wang and colleagues at the MIT Lincoln Laboratory (USA) have been presented in a recent paper [1]. The team worked on improving the MOCVD growth of InP-based QCLs in a Veeco D-125 multi-wafer (3x2”) reactor. Dr. Wang reported two major findings:
(1) The growth of high-performance QCL structures requires the deposition of a complex sequence of coupled quantum wells (AlInAs, GaInAs). During this process, the cumulative Indium surface segregation has to be carefully compensated in the growth recipe to keep the targeted lattice matched throughout the full QCL structure.
(2) Even under optimized growth conditions, a certain in-terface-grading is unavoidable, especially at the GaInAs-to-AlInAs interfaces. However, optimum QCL performance can be achieved by taking into account these interface grading effects in the calculations of the QCL target structure.
EpiCurve® TT was the key to these findings. Fig. 3 shows in-situ reflectance at 450 nm and curvature of the two decisive MQW runs. Reflectance oscillations correspond to each barrier and well layer and each layer is easily resolved. In Fig. 3b, the high-resolution wafer bow sensing verified the compressive strain accumulation at the AlInAs-to-GaInAs interface. After recipe optimization, the 450 nm reflectance could be used as a characteristic finger-print of the formation of every single graded interface among the hundreds constituting a single QCL layers. Learn more about EpiCurve® TT at laytec.de/epicurve!
[1] Wang C.A. et al., Journal of Crystal Growth (2016)

2017
all 61, 60,
21. 二月 2017, 12:38 in  Company News/ Research/
In-situ wafer bow measurements in multi-pocket satellite configuration

While in-situ reflectance and temperature on a multi-pocket satellite susceptor can be measured very accurately, in-situ curvature monitoring is more tricky because it is rather challenging to find the wafer center. The new release of our control and analysis software EpiNet 2017 provides a solution for this problem. Fig. 1 shows the susceptor layout for a GaN/Sapphire run performed at Ferdinand-Braun-Institute (Berlin, Germany): Pocket #8 was loaded with a single 2" reference wafer, Pockets #5 and #6 contain three 2" wafers each, Pockets #1–4, 7 have dummy wafers.  
In Fig. 2, the wafer bow signals of the pockets loaded with epi wafers are compared. The values of the multi-pockets comply nicely with the those of the single-pocket. Analysis functions for wafer bow like curve slope (marked by a red line) work well both on single and multi-pocket. Besides, the signal-to-noise ratio (SNR) of the measurements on multi-pockets (shown here without filtering) stays within spec like that of the single wafer pocket.
To learn about further new features of EpiNet 2017, please visit laytec.de/epinet.

See the figures in the  Newsletter

2017
all 61, 60,
22. 十一月 2016, 10:58 in  Company News/
LayTec welcomes new members in sales and customer support teams


Dennis Dachkovski will enforce our team as a sales manager. He will work closely with our customers and distribution partners in Asia. He brings a profound scientific background as a graduate in physics and valuable experience in process integration and thin film characterization which he gathered at Infineon.

Benjamin Klessen has joined LayTec as a customer support engineer to provide service, training and installation of LayTec systems at customer sites worldwide. Benjamin graduated in photonics (with a focus on optics and electronics) and has profound experience in service and installation of optical inspection tools.

See the photos of our new colleagues in the Newsletter

2016
all 61,
10. 十一月 2016, 11:06 in  Company News/ Power Electronics/ Laser/ LED/
LayTec’s 2000th in-situ tool delivered to Compound Semiconductor Centre


LayTec is proud to deliver its 2000th in-situ metrology system since its foundation in 1999! An EpiTT with the figure 2000 in its serial number has been shipped to Compound Semiconductor Centre (CSC, Cardiff, UK) – a joint venture between compound semiconductor specialists IQE and Cardiff University.
CSC works on providing a complete capability value chain from high-end R&D through product and process innovation to high value, large-scale manufacturing. According to Dr. Wyn Meredith, Director of CSC, “This EpiTT and other LayTec systems already installed in our labs provide unrivalled precision and sophisticated analysis algorithms, which is crucial for process optimization in semiconductor manufacturing environment.”
LayTec's founder and CEO Dr. Thomas Zettler commented: "It is significant that our 2000th in-situ tool is delivered to a research institution with a strong connection to industry. LayTec has always set a great value on cooperating with both industry and R&D. Until now, we have equipped hundreds of customers worldwide with state-of-the-art metrology, mainly in the field of LED and laser production. In the last few years we also entered the PV, display and advanced silicon markets. Meanwhile, our product portfolio covers all areas of process monitoring: in-situ, in-line, lab-line and map-line metrology. Due to this market diversification, we believe to deliver the next thousand tools much faster than before. We are proud that our tools make the related industries more effective and more productive."

2016
all 61, 58, 57, 56,
31. 十月 2016, 11:28 in  PV/
Astronergy installed X Link® for in-line lamination control


LayTec is happy to annoucne the installation of its X Link® in-line at Astronergy in China! The tool is integrated into a production line of high-efficiency PV modules for in-line control of EVA or polyolefin cross-linking degree immediately after lamination. It allows for a 100% monitoring of produced PV modules. The measured data is directly fed into the Manufacturing Execution System (MES). The data helps to quickly optimize lamination process for better cross-linking quality by adjusting the heating zones and the duration of lamination. The result is a perfect lamination process, which is the key to high-quality modules. The whole measurement procedure is non-destructive and does not affect the functionality of the tested modules. Learn more about X Link on our website

2016
all 59,
30. 八月 2016, 14:56 in  PV/
X Cal secures tool-to-tool and fab-to-fab accuracy of X Link®


LayTec has launched X Cal – a set of tools for on-site calibration of X Link®. X Cal consists of three components: X Cal-F calibrates X Link’s force measurement, X Cal-T – temperature measurements and X Cal-M – LXM reading (LXM= LayTec cross-linking metric). X Cal set is delivered with a certified calibration. The tool guarantees the high accuracy of X Link® systems, which is indispensable for process control and quality management in solar module production. It is recommended to calibrate X Link® after its installation or moving as well as once a year to fulfill the requirements of customer’s auditors. Learn more in the X Cal Data Sheet.

2016
all 59,
30. 八月 2016, 14:53 in  PV/
Successful market launch of LID Scope


LayTec has sucessfully launched a new tool for monitoring of Light Induced Degradation (LID) in solar cells. The system LID  Scope was demonstrated at three major PV events: SNEC (China) IEEE PVSC (U.S.) and EUPVSEC/Intersolar Europe (Germany). Our sales team could demonstrate LID Scope in action to several hundreds booth visitors, more than 100 qualitative leads have asked for a commercial proposal!
In China, LID Scope was awarded as one of the "SNEC Top 10 Highlights" for its economic benefits: the tool enables fast and comprehensive LID tests already in the lab on the solar cell level. It performs automated and repeatable degradation as well as routine tracking for fast production control and it is easy to handle.
At the SNEC conference, Dr. Dominik Lausch of Fraunhofer CSP held a talk about "Accelerated Quality Control for Light-Induced Degradation (LID) on solar cell level" and presented the results of his research with LID Scope (download the talk). According to Mr. Lausch, “The PV industry continuously develops different techniques to prevent the loss of solar cell performance in the field. With LID Scope, manufacturers can quickly check the success of these methods and optimize their production processes much faster and easier.”
PV Magazine interviewed the developers of LID Scope and published an article about how LID testing can help a PERC upgrade pay off (see May issue of the global edition). In the interview, Tobias Schenk of LayTec commented: “Cell producers need to be able to look closely at the LID effect as it has a direct impact on the price-per-watt they can charge.”
For more information please visit our LID Scope page.

2016
all 59,
21. 七月 2016, 16:48 in  Power Electronics/ Laser/ LED/
In-situ lattice match sensing with XRD resolution by EpiCurve®TT Gen3


At ICMOVPE XVIII, we presented the talk "MOCVD of InGaAsP/InP based device structures: full replacement of ex-situ process calibration by advanced in-situ metrology."
This work is a result of a close collaboration between the team of Tony SpringThorpe at the National Research Council of Canada, Christoph Hums and co-workers at Fraunhofer HHI (Germany) and LayTec.
During lattice matched growth of InGaAs on InP in an AIXTRON Crius reactor, the high-resolution wafer bow sensing (EpiCurve®TT Gen3 with ARS module) reached a resolution of 0.2 km-1! Two effects that contribute to the wafer bow were carefully separated: the lattice mismatch between layer and substrate as well as the vertical temperature gradient across the wafer resulting from temperature difference between wafer pocket and shower-head. In result, the lattice match of InGaAs to InP could be tuned in-situ with a ±50 ppm resolution – an accuracy that formerly could be achieved only by ex-situ X-ray diffraction (XRD). Learn more on our InP page

2016
all 58, 57, 56,
21. 七月 2016, 15:00 in  Power Electronics/ Laser/ LED/
Analysis of quaternary films at the nanometer scale with EpiNet 2016


In our newsletters in  April 2016 and June 2015 we reported on XRD gauged nk database improvements for InGaAsP and InGaAlAs on InP and for ternary/quaternary materials on GaAs. The next release of LayTec's control and analysis software EpiNet 2016 (scheduled for Q4/2016) will offer completely new analysis features for our customers interested in high-accuracy statistical process control (SPC) of related device growth processes.
For measurement examples please visit our website pages about InP based and GaAs based materials.

2016
all 58, 57, 56,
21. 七月 2016, 14:38 in  Company News/ Power Electronics/ Laser/ LED/
LayTec’s 21st in-situ seminar at ICMOVPE XVIII


More than 80 researchers and engineers took part at LayTec's in-situ seminar in conjunction with ICMOVPE XVIII. They discussed the latest research results and learned about new in-situ metrology developments. Dan Koleske of Sandia National Labs (USA) presented in-situ results of AlN/sapphire growth measured by EpiCurve®TT at his Taiyo Nippon Sanso SR4000 reactor. The talk of Gary Tompa of Structured Materials Industries (SMI, USA) focused on integration and utiliziation of EpiTT in SMI’s Ga2O3 MOCVD system. Hilde Hardtdegen (Research Center Juelich, Germany) reported on finding narrow growth temperature windows for novel Ge1Sb2Te4 2D materials by combining reflectance and temperature sensing of EpiR TT at her AIX 200 reactor.
Finally, Oliver Schulz of LayTec gave an overview on latest modular adaptations of LayTec’s new Gen3 product lines to  AIX Crius, AIX 6x2” and Veeco K700 reactors [download the talk]. Following the tradition, the second half of the seminar was devoted to in-depth discussions with and between our customers. For a PDF copy of our customers' talks or to receive information about our next seminars please contact info@laytec.de.

2016
all 61, 58, 57, 56,
28. 六月 2016, 11:09 in  Laser/
First order for EpiTT/VCSEL confirmed by a lead user


VCSELs grown on GaAs are currently emerging as a leading technology in rapidly expanding markets like Gesture Recognition, 3D imaging, datacomm and others. Following the request of our customers and utilizing the modular concept of our new Gen3 in-situ platform, LayTec has customized and expanded the related in-situ metrology performance for VCSEL epitaxy. In May, one of our lead users in Europe placed the first order for such a system called EpiTT/VCSEL. The tool will be shipped by the beginning of 2017. Please visit the VCSEL page of our website to learn more about EpiTT/VCSEL and EpiCurve®TT/VCSEL

2016
all 57,
28. 六月 2016, 11:07 in  LED/
EpiTT for UV-C LEDs: 280 nm reflectance senses AlGaN surface morphology


AlGaN buffer layers with high Aluminum content are necessary for optimal UV-C LED performance. But their Band-Edge lies below 300 nm, so the established 405 nm in-situ reflectance is insensitive to the surface morphology of such AlGaN layers. To monitor precisely both AlGaN growth rate and surface morphology during UV-C LED epitaxy, LayTec offers an additional 280 nm reflectance channel that employs a UV-C LED as a light source. For more information please download our Newsletter

2016
all 56,
28. 六月 2016, 11:05 in  Power Electronics/ Laser/ LED/
EpiCurve®TT Gen3: high resolution wafer bow measurements for CCS reactors


Detecting thin-film strain in-situ during epi growth through the tiny openings of the showerhead view-ports is a challenge. However, with our advanced software algorithms we have further improved the signal-to-noise ratio of EpiCurve®TT by a full order of magnitude. With this improvement, in-situ strain balancing or AlGaN lattice constant tuning is now possible with accuracy levels formerly known only for ex-situ XRD methods. Learn more in our Newsletter

2016
all 58, 57, 56,
28. 六月 2016, 11:02 in  Company News/
AIXTRON qualifies LayTec EpiNet 2016 software


We are proud to announce that AIXTRON SE has qualified EpiNet 2016, LayTec’s latest control and analysis software for EpiTT and EpiCurve® TT products. EpiNet 2016 is all about turning your metrology system’s in-situ data into high-level information. Dr. Christian Geng, Director of Technology at AIXTRON SE, said: “With EpiNet 2016, our customers have access to key features of LayTec  Gen3 metrology tools on our AIXTRON’s MOCVD platform. The improved performance and related customized upgrade packages of EpiNet 2016 will add further values to AIXTRON driven epitaxy processes.” For more information about EpiNet 2016 extended analysis capabilities and features please contact info@laytec.de.

2016
all 61,
14. 六月 2016, 11:14 in  Company News/ Power Electronics/
IQE equips complete fab with LayTec tools for MOCVD process monitoring


LayTec is proud to announce that IQE plc has purchased a large number of LayTec's latest metrology systems for a fab-wide MOCVD process control. In close collaboration with IQE, we have implemented automated and highly precise new analysis algorithms into our Gen3 metrology tools, which utilize an updated XRD gauged high temperature nk database of AlGaAs. This was the key to meet the demands of the world's leading compound semiconductor wafer foundry. With LayTec's in-situ metrology, the MOCVD systems of the fab can be tuned much faster to new and usually complex processes for best serving IQE's large customer base.
Matthew Geen, Engineering & Operations Director at IQE commented: ”As the global leader in wafer outsourcing IQE is committed to deliver the highest product quality standards to its customers. LayTec’s new unrivalled growth process analysis offers a compelling alternative to expensive calibration runs by enabling us to extract material parameters in-situ during production.”
According to LayTec's CTO Dr. Kolja Haberland: “LayTec is delighted to have worked closely with IQE, the world leading outsource manufacturer of epiwafers, to demonstrate the most advanced in-situ monitoring solutions and new algorithms for analysing critical growth parameters in a high volume, semiconductor manufacturing environment. Our systems cover a complete range of thin-film applications, providing access to all significant thin film growth parameters.”
We are looking forward to the first results at IQE!

2016
all 61, 58,
14. 六月 2016, 11:10 in  LED/
Pyro 400 Gen3 ECP – emissivity corrected GaN temperature


Ultra-violet (UV) pyrometers like Pyro 400 have to collect very low intensity 400 nm thermal emission out of the GaN buffer layers. Until recently, emissivity correction was not possible for low noise 400 nm temperature reading and Fabry-Perot oscillations (FPOs) in the temperature signal were unavoidable. However, LayTec’s new Pyro 400  Gen3 is now the first UV pyrometer with full emissivity correction. It uses a separate 410 nm broad-band reflection for emissivity correction and the three narrow-band reflectance channels of EpiTT (405/633/950 nm) remain in full operation. The system is now available for Aixtron G4/G5 planetary reactors and Veeco K700 reactors. Learn more about advantages of the Gen3 product line and find the results of  Pyro 400 Gen3 "stress test" at Veeco K700 on our website

2016
all 56,
14. 六月 2016, 10:06 in  LED/
AbsoluT 400 calibration to the PTB certified wafer temperature


LayTec's latest development Pyro 400 Gen3 comes to the market with a brand-new temperature calibration tool: AbsoluT 400! LayTec’s patented AbsoluT technology keeps wafers in hundreds MOCVD systems world-wide at the absolute temperature scale of the National Metrology Institute of Germany (German name: Physikalisch-Technische Bundesanstalt - PTB). AbsoluT is used for temperature calibration through the original viewport with unrivaled accuracy (ring-to-ring, reactor-to-reactor and run-to-run calibration). Read more about qualification results of AbsoluT 400 on our website

2016
all 56,
21. 四月 2016, 14:05 in 
EpiTT Gen3: XRD referenced nk database for InP and related materials


Together with Dr. Tony SpringThorpe’s team at National Research Council of Canada and Christoph Hums and his co-workers at Fraunhofer HHI Berlin (Germany), LayTec has further improved the accuracy level of its nk database for these two quaternary material systems. For this highly precise nk database, growth rates and accurate lattice match were carefully matched to ex-situ XRD. Learn more here

2016
all
21. 四月 2016, 14:02 in  Research/ Laser/
Advanced process control with LayTec in-situ metrology


At Jenoptik Diode Lab (Germany), LayTec EpiTTs monitor MOCVD growth of edge emitting laser bars. The tools are routinely used to calibrate growth rate and composition during calibration runs as well as to control production runs. Specific key values, such as temperature or growth rate of specific layers on specific wafers, are extracted from the in-situ data and transferred into the MES (manufacturing execution system) for SPC (statistical process control). So, process stability can be monitored run-to-run and potential deviations can be detected immediately, paving the way for run-to-run control. For more information please visit our APC page

2016
all 60, 57,
21. 四月 2016, 13:59 in  Company News/
EpiNet SECS/GEM


A major European semiconductor manufacturer has qualified LayTec's EpiNet-SECS/GEM software package for MES integration in his power electronics production line! The software continuously transfers comprehensive wafer related in-situ metrology data to the fab’s MES system throughout MOCVD runs: local wafer temperature, reflectance and wafer bow of up to 256 wafer zones. Furthermore, at the end of each epi run, the latest version of this software forwards to MES additional SPC information on growth rates, film compositions and surface roughness of pre-selected epi-steps.
Learn more about EpiNet software

2016
all 61,
01. 三月 2016, 09:00 in  Power Electronics/
Accurate wafer temperature for GaN/Si power electronics with Pyro 400


Temperature measurements during MOCVD growth of GaN-on-silicon (GaN/Si) divices is rather challenging. Theoretically, conventional infra-red (IR) pyrometry should be sufficiently because the silicon substrate is IR absorbing in the full range of relevant growth temperatures. However, one artifact makes the feed-back control difficult and prohibits precise statistical process control in industrial applications: when GaN is grown, the temperature signal starts oscillating.
We searched for a solution together with our partners at Otto-von-Guericke University of Magdeburg (Prof. Armin Dadgar and his team) and FBH (Dr. Frank Brunner) and found out that, no matter how perfect the IR pyrometer is, these oscillations are inevitable. They are caused by a complex interaction between two effects: the IR transparent GaN/AlGaN strain-engineering and the defect reducing buffer structure on the one side, and, on the other side, the thermal IR radiation out of the silicon wafer that passes through the grown structure. Our solution was to use a pyrometry wavelength range in which the complex buffer layer structure Si/AlGaN/GaN/LT-AlGaN/GaN is not "visible" for the pyrometer.
Hence, we took LayTec's ultra-violet (UV) pyrometer Pyro 400, which was developed some years ago for GaN-on-sapphire growth in LED industry. The results were so overwhelming, that we publish them now, although scientific papers with a detailed root-cause analysis are still in the pipeline and will be publish later this year. The UV pyrometer gives a very stable wafer temperature signal without oscillations during GaN growth.
Furthermore, it became clear: the remaining oscillations in the IR pyrometry signal (red) are not the most important artifact. Due to interactions of the thermal IR radiation with the internal structure of the GaN/Si buffer, there is an additional downshift in this signal by about 15K! This effect we attribute to the fact that the thermal IR radiation coming out of the silicon loses its intensity while passing the relaxation area close to the Si/GaN interface. These internal straylight losses depend on the Fabry-Perot resonance situation with the total GaN thickness and, therefore, also contribute to the IR temperature oscillations.
Please find the detailed report on our Power Electronics page

2016
all 58,
16. 二月 2016, 12:16 in  LED/
Gen3: new features of the next in-situ generation


In October we announced the launch of LayTec's next generation in-situ metrology. Now, EpiTT Gen3 is available as the first representative of this product class!
What is new in Gen3? The backbone of the metrology’s hardware and software is modularity. The new concept offers a much wider range of process specific customizations without compromising the robust and accurate performance our products are known for. Furthermore, the 24/7 operation is improved by separating data acquisition (based on ARM processors) from metrology control and analysis (based on MS Windows PC).
In addition, Gen3 offers a significantly extended choice of process interfaces, e.g., SECS/GEM for communication with MES systems and Modbus for RIBER’s latest Crystal XE software for MBE. Besides, the real-time and post-growth data analysis functionality has been further improved. Several completely new hardware components can be now combined with well proven working-horse modules that, of course, have been integrated into the new Gen3 platform, too.  ...more

2016
all 56,
16. 二月 2016, 12:05 in  LED/
UV LEDs: accurate temperature for pss and double-side polished sapphire


For UV LEDs, the emitted light usually exits the device structure through the sapphire substrate. Therefore, double-side polished (dsp) sapphire is frequently used. In addition, the front surface of the sapphire substrate can be modified by nano-patterned sapphire substrates (pss) for enhanced light extraction. Both substrate specifics often cause unrecognized artifacts in temperature sensing. EpiTT Gen3, however, comes with new software algorithms that take these specific effects into account. For measurement examples please visit our UV LED page

2016
all 56,
16. 二月 2016, 12:00 in  LED/
Overcoming the wafer-showerhead gap variation in UV LED epitaxy


LayTec's in-situ metrology tool of the new generation EpiTT Gen3 offers a lot of advances for UV LED processes. Among them is the possibility to choose between two types of metrology heads: fiber-optical heads and the new parallel-beam heads. The latter is the tool of choice, e.g., for Close Coupled Showerhead (CCS) reactors, where the wafer-showerhead gap is adjusted during the run to avoid pre-reactions and achieve high growth rates in UV LED processes. The measurement data on our UV LED page show that EpiTT Gen3 with parallel beam heads delivers a very stable reflection and temperature signal despite the gap variation. ...more

2016
all 56,
22. 十月 2015, 14:06 in  LED/
Gen3 in-situ tools: advanced capabilities for UV LED processes


Starting with Q1/2016, LayTec will ship in-situ metrology tools (EpiTT, EpiCurve® TT and Pyro 400) as part of the new and modular Gen3 class. This 3rd generation of in-situ metrology offers a whole number of significant technology advances and is more flexible in customization to the needs of specific epitaxy processes. An important example of these improvements is our recent metrology progress for UV LED related MOCVD. Since 2010 LayTec has been working together with the Joint Lab GaN Optoelectronics of FBH (Berlin, Germany) and Technical University of Berlin (Group of Prof. M. Kneissl). Within the Zwanzig20 consortium “Advanced UV for Life”, our research target is the next generation technology for UV-B and UV-C III-Nitride-based LEDs. Find more about our participation in research consortia here

2015
all 56,
22. 十月 2015, 14:00 in  LED/
EpiTT Gen3: high temperature AlN templates for UV LEDs


In the course of LayTec's cooperation with FBH in the consortium "Advanced UV for Life", FBH scientists (Group of Prof.  Markus Weyers) have developed a high temperature (HT) MOCVD process for growing low-defect-density AlN/sapphire templates for UV LEDs. The split of the UV LED growth in two separate steps in two different MOCVD systems fully avoids the possible memory effect related interference of AlGaN processes with the growth of high quality AlN buffers. Find more on our UV LED page

2015
all 56,
22. 十月 2015, 12:00 in  LED/
Advanced 405 nm reflectance analysis


At the recent Metrology Workshop during ICNS 2015 in Beijing LayTec was happy to welcome more than 60 guests! Prof. Dabing Li of Chinese Academy of Sciencies (CAS) reported about AlN buffer optimization for UV LED growth by means of the 405 nm reflectance. Dr. Ding Li (FBH) presented in-situ data of surface morphology during GaN growth on Ga2O3. Christoph Berger (Otto von Guericke University Magdeburg, Germany) held a talk on compensation of Ge-doping memory effects in III-nitride processes, i.e. in highly doped GaN/GaN:Ge DBRs using 405 nm reflectance. This technique is also of high importance for avoiding Ga carry-over (memory) effects in AlGaN/AlN structures of UV LEDs.
You can download Prof. Dabing Li's talk here
For other talks, please visit the page Seminars

2015
all 56,
10. 九月 2015, 12:59 in  Company News/ PV/
LayTec's Chinese sales partner JSun reports repeated X Link orders from large scale manufacturers

LayTec's cooperation with JSun International Ltd. is bearing fruits: In the last months we had an increasing number of orders from China. Our sales in China grow not only by winning new customers, but essentially due to repeated orders from large scale solar cell and module manufacturers. We are happy to benefit from JSun's experience and their well established sales and distribution network in China!

2015
all 61, 59,
10. 九月 2015, 09:52 in  PV/
Hanwha Q CELLS uses X Link® in mass production of PV modules


Hanwha Q CELLS GmbH (Germany) has established LayTec's X Link® into production of their PV modules. Heribert Raaf, Senior Vice President Manufacturing Thalheim of Hanwha Q CELLS stated: “The lamination process is one of the most critical production steps of module manufacturing. Thanks to LayTec X Link®, ensuring the high quality of our lamination process and the corresponding quality controls have become faster and easier. Implementing this innovation in our production line is a further step in our commitment to provide top-notch quality PV modules. We are dedicated to making solar power the most reliable source of energy."
Meanwhile, Hanwha Q CELLS has deployed X Link® to all of its production sites worldwide to ensure high standard quality control of EVA encapsulation. At the EU PVSEC in Hamburg next week, Hanwha Q CELLS will present X Link® results in the poster "Evaluation of gel content measurement method for mass production of glass-foil based crystalline silicon PV modules". The tests at Hanwha have proven that X Link® rovides extremely accurate measurements, faster and more exact process control, quality control, recipe evaluation and laminator characterization and enables an early detection of possible failures. For more information please ask mail@laytec.de for the PDF file of the poster.

2015
all 59,
09. 九月 2015, 10:47 in  PV/
REC integrates X Link® in solar panel manufacturing process for EVA encapsulation control


LayTec takes pride that its unique metrology system X Link® fulfills the stringent solar manufacturing requirements of REC. X Link® allows fast non-destructive EVA cross-linkage testing directly integrated in production processes.
For more information please download our press release

2015
all 59,
20. 八月 2015, 17:28 in  Research/ Laser/ LED/
New EpiCurve® TT for large single-wafers in D125 high-speed rotation reactors

 

A new version of EpiCurve® TT has been developed for combined reflectance, wafer temperature and wafer bow measurements on large single-wafers in D125 high-speed rotation reactors. The first system will be shipped to a customer in USA in September 2015. It closes a technology gap formerly existing for large single wafer configurations in high-speed rotation reactors due to limitations of scanning single-beam deflectometry. LayTec combined in this system its high-resolution multi-beam wafer bow sensing with 3-wavelength reflectance and wafer temperature measurement. The system is equipped with our very latest EpiNet software package that allows for thickness and composition monitoring for specific material classes at an accuracy level formerly reached only by XRD.  For more information, please download the report in ournewsletter.

2015
all 60, 57, 56,
20. 八月 2015, 17:24 in  Research/ Laser/ LED/
LayTec metrology speeds up process development on 100 mm (11-22) GaN / r-PSS

 

At last week’s OMVPE Workshop in BigSky (Montana) Dr. Frank Brunner presented his latest results on growth of (11-22) GaN / r-PSS in an AIX 2600G3-HT reactor (8x4” configuration). He underlined in his talk that cost-efficient growth process optimization for these semi-polar GaN structures on 100 mm PSS substrates would not have been possible without comprehensive in-situ metrology. Hence, an EpiCurve® TT (for reflectance and wafer bow sensing) and a Pyro 400 (for GaN temperature control) worked together by utilizing the two viewports of this (modified)Aix 2600G3-HT reactor top-plate. In Fig. 2 is shown how all details of the highly complex growth mode show-up in the combined reflectance, wafer bow and temperature data. Please see a copy of all the related slides.

For more information, please download the report in ournewsletter.

2015
all 60, 57, 56,
20. 八月 2015, 17:19 in  LED/
In-situ analysis: improved nk data base for III-Nitrides in EpiNet 2015 release

 

Recently LayTec and our R&D partners published high-accuracy high-temperature nk data of Arsenides and Phosphides enabling for these materials in-situ process control of layer thickness and composition at the same level of accuracy as XRD or PL [CS ManTech 2015 and EWMOVPE 2015]. At the forthcoming ICNS-11, we will present a similarly expanded and improved nk database for the III-Nitrides as part of the latest version of our EpiNet software. These high accuracy nk data in conjunction with Pyro 400 wafer temperature control will open the pathway to a more comprehensive and direct SPC of III-Nitride based manufacturing on pss, silicon and, most importantly, on GaN wafers.
For more details please see the copy of slides as presented at OMVPE 2015.

To learn more, please download ournewsletter.

2015
all 56,
18. 六月 2015, 11:34 in  Company News/ Research/ Laser/ LED/
EpiCurve® TT at Emcore D180 for BluGlass’ remote plasma CVD

 

At LED Forum/Semicon China 2015 Dr. Ian Mann (CTO of BluGlass) reported on latest progress in remote plasma CVD (RPCVD) for manufacturing of high-brightness LEDs. For verifying the success of the reduced temperature processes (p-GaN) and reduced cost approach (N2 precursor). Dr. Ian Mann applied EpiTT and EpiCurve® TT in-situ metrology on the D180 platform modified for RPECVD. To learn more, please download our newsletter.

2015
all 61, 60, 57, 56,
18. 六月 2015, 11:30 in  Research/ Laser/ LED/
EpiTT: speeding-up the R&D for GaN-epi on (WS2, MoS2) 2D substrates

 

Dr. Arnab Bhattacharya of Tata Institute in Mumbai, India, reported at last week’s LayTec in-situ Seminar (EWMOVPE, Lund, Sweden) on latest in-situ results for a completely new type of growth process: MOCVD of GaN on transitionmetal dichalcogenides (WS2, MoS2). These new 2D materials have a graphene-like structure and obviously are suited as substrates for III-Nitride growth. While searching for the best growth parameters Dr. Bhatacharya’s team used Lay- Tec’s EpiTT for real-time observation of growth rates and growth modes (see Fig.1). Please, see www.laytec.de for a copy of the related slides.

You can find the summary of his report in the June issue of our newsletter.

2015
all 60, 57, 56,
18. 六月 2015, 11:21 in  Research/ Laser/ LED/
EpiTT in GaAs wafer foundry: fast recalibration of GaAs/AlGaAs MOCVD

 

Operating MOCVD in III-V foundry businesses faces the specific challenge of frequent change of growth recipes for different customers with specific MOCVD re-calibration needs. Therefore, at recent CSManTech latest progress in fast and high-accuracy in-situ calibration of AlGaAs composition and AlGaAs growth rate was presented in a joint contribution from JENOPTIK, NRC Canada and LayTec. In-situ reflectance based AlGaAs process calibration in conjunction with AbsoluT based GaAs wafer temperature sensing can fully replace established but time-consuming ex-situ calibrations (XRD, PL and others). Thereby this new approach shortens significantly the non-productive recalibration time between MOCVD runs for different customers. For more details please see the copy of slides as presented at CSManTech 2015 on www.laytec.de.

 For more information, please download the report in our newsletter.

2015
all 60, 57, 56,
12. 三月 2015, 14:01 in  Company News/ Research/ Laser/ LED/
LayTec contributes to ‘InteGreat’ – R&D consortium led by OSRAM

 

In December 2014, an OSRAM led joint R&D initiative started its work: “Integrated high-volume manufacturing of LEDs along the manufacturing chain from large wafers to panels” (4 industry partners and 2 Fraunhofer Institutes). Based on our expertise in integrated metrology, LayTec contributes to this consortium with innovative metrology systems for supervision and characterization of LED wafers and panels in manufacturing lines. The project is supported by German BmBF (initiative: “photonic process chains”) under grant 13N13132. Learn more in our newsletter!

2015
all 61, 60, 57, 56,
12. 三月 2015, 13:53 in  Company News/ Research/ LED/
In-situ photoluminescence of growing III-Nitride LED structures

 

In a collaboration between LayTec and Prof. Dirk Rueter´s group at University of Applied Sciences Ruhr West a breakthrough has been achieved regarding the real-time monitoring of InGaN/GaN quantum well emission wavelength during MOCVD growth. It is well known that room temperature wafer based photoluminescence (PL) measurements provide an excellent forecast of the emission wavelength and emission intensity of the later LED devices. Recently, a prototype in-situ PL system worked simulatnneously with a LayTec Pyro 400 in a commercial multi-wafer MOCVD reactor. The related paper [2] was rocketed immediately to the status of “most downloaded Journal of Crystal Growth articles” from Science Direct. This work is supported under grant KF3242801NT3 by BMWi (German Federal Government).

[2] C. Prall et al. in Journal of Crystal Growth 415 (2015), 1–6.

2015
all 61, 60, 56,
12. 三月 2015, 13:47 in  Company News/ Research/ LED/
EpiRAS® TT: MBE growth and reactive ion-etching of AlGaAs

 

The group of Prof. Henning Fouckhardt at Kaiserslautern University of Technology recently published [1] their latest results on the use of a LayTec EpiRAS® TT metrology tool for optimizing both MBE growth and reactive ion-etching (RIE) of AlGaAs structures. Reflectance-Anisotropy signatures have been used in order to investigate the GaAs and AlGaAs surface status under various etching procedures in the RIE chamber. Optimized etching conditions create perfectly smooth etching surfaces and therefore in the reflectance trace (see Fig. 1) the in-situ signatures during RIE nicely resemble the (inverted) in-situ reflectance traces of the former MBE growth of the same structure.

Learn more in our  newsletter and on EpiRAS® TT product page.

2015
all 61, 60, 56,
15. 一月 2015, 12:05 in  Research/ Laser/ LED/
EpiTT: Monitoring of SiO2/SiNx deposition in a Oxford Instruments ICP CVD tool

 

In a collaboration between LayTec and University of Ilmenau (Germany) it was demonstrated that an EpiTT with optimized hardware and software (EpiTT 3DStaR) can analyze quantitatively nano-scaled SiO2/SiNx multilayerstacks (see Fig.3). The thicknesses determined by in-situ are fully consistent to transmission electron microscopy (TEM) images. Learn more in our newsletter and on EpiTT product page. Please download the application note " EpiTT 3DStaR for PECVD multilayer stacks".

2015
all 60, 57, 56,
15. 一月 2015, 11:57 in  Research/ Laser/ LED/
EpiCurve® TT: Optimization of Evatec’s plasma assisted evaporation

 

Dr. Silvia Schwyn Thöny of Evatec presented in her recent presentation at FOC 2014 latest results on strain engineering for optimized plasma assisted evaporation of SiO2 and TiO2 multi-layer structures. First, by separate variation of deposition rate, gas flow and plasma power the related film stress has been determined (Fig.2). Secondly, the deposition recipes have been optimized for minimum total stress in the SiO2/TiO2 multi-layer structures. For further information please download the presentation of Evatec and learn more on EpiCurve® TT product page.

2015
all 60, 57, 56,
15. 一月 2015, 11:49 in  Research/ Laser/ LED/
EpiCurve®: ICP-Etching in a Sentech SI 500 tool

 

Researchers at Ferdinand-Braun-Institute in Berlin successfully applied EpiCurve® in-situ metrology for optimizing etching recipies in an ICP-RIE plasma etching tool (SI 500, Sentech). With standard etching recipes the SiC/GaN wafer (pasted to a glass or sapphire carrier) frequently cracks because it suffers from a ±50μm wafer bow due to vertical temperature gradients and differences in the thermal expansion coefficients of carrier, substrate and GaN. By means of EpiCurve® in-situ strain engineering the wafer bow was kept down to ±20μm (see Fig.1) and wafer cracking can be completely avoided.  To learn more, please download the report in our  newsletter and the presentation of "ICP Etching Process Improvement by in-situ metrology" on EpiCurve® TT product page.

2015
all 60, 57, 56,
13. 十一月 2014, 11:36 in  Company News/ Power Electronics/
Translucent: EpiCurve® TT supports novel III-N/Si structures

 

Translucent of Palo Alto, CA, has recently published its latest results on novel insulating, single-crystal rare-earth-oxide (REO) sub-buffers that allow for extremely low-defect growth of GaN on silicon. LayTec’s EpiCurve® TT was used for optimizing this complex MOCVD process targeting power electronics applications. We refer to [1] for further reading ([1] Compound Semiconductor, Oct. 2014, p. 28). Learn more in our newsletter  and on EpiCurve® TT product page.

2014
all 61, 58,
13. 十一月 2014, 11:30 in  Company News/ Research/ Laser/ LED/
LayTec in-situ metrology in AIXTRON’s latest AIX R6 HB-LED reactor

 

On November 6th AIXTRON presented its latest generation of MOCVD reactors for HB-LED manufacturing at the SSL China conference. The advanced feed-back control capabilities of these reactors are based on two fully integrated LayTec OEM metrology sub-systems (Inside MiniR and Inside TTC) with typically seven metrology heads in total. Emissivity corrected susceptor surface temperature and double wavelength reflectance is provided by the industry proven LayTec in-situ metrology. As additional options also wafer bow and GaN surface temperature can be measured by Inside MiniRC and Inside P400 (OEM version of LayTec’s Pyro 400). Aixtron’s and LayTec’s engineering teams worked closely together in orderto provide this market leading in-situ metrology solution for both our customers in the LED industry. For more information, please download the report in our newsletter.

2014
all 61, 60, 57, 56,
13. 十一月 2014, 11:22 in  Company News/
Physics Nobel Prize 2014 – LayTec congratulates!

 

On October 7th the Royal Swedish Academy of Sciences has decided to award the Nobel Prize in Physics for 2014 to Isamu Akasaki, Hiroshi Amano and Shuji Nakamura. LayTec is congratulating! We take the opportunity to refer to one of the first papers published by Shuji Nakamura regarding the III-Nitride bufferlayer optimization:“In-situ Monitoring of GaN growth using interference effects”. Even today, 23 years later, it is highly educating on how in-situ metrology can be used for studying in real-time the evolving surface morphology in advanced thin-film processes (Fig.1). To learn more, please download our newsletter.

2014
all 61,
27. 六月 2014, 14:13 in  Company News/ PV/ Display/
Jsun – our sales and service partner for PV in China

 

Jsun and LayTec signed cooperation agreement regarding sales and service cooperation in the China photovoltaics (PV) market. Jsun is a well established distributor of advanced production equipment for solar cells and modules. Through cooperation with JSun LayTec will provide its Chinese PV customers superior metrology tools and services.

2014
all 61, 59, 55,
27. 六月 2014, 12:59 in  Research/ Laser/ LED/
EpiCurve® TT – InAlN DBR back-reflectors taken under tight control

 

Researchers from Otto-von-Guericke University (OvGU) in Magdeburg presented their latest results on InAlN DBR growth on sapphire last month at ISGN-5 in Atlanta. Growth of InAlN DBRs has to be performed at rather low growth rates for avoiding surface roughening. Hence, the challenge is to keep all growth conditions in-spec for several hours. You can find the full talk in our download library and a summary of this report in the June issue of our newsletter.

2014
all 60, 57, 56,
27. 六月 2014, 12:40 in  Power Electronics/ Laser/ LED/
EpiCurve® TT– faster up-ramping after GaAs MOCVD maintenance

 

Jenoptik offers the entire process and technology chain in the field of GaAs based diode lasers (see: www.jenoptik.com/en-diode-laser). Recently, at CS Mantech in Denver, Jenoptik presented in a joined contribution with Ferdinand-Braun-Institute (FBH) on “In-situ Curvature measurements applied to MOVPE-based growth of edge-emitting diode lasers” latest results on how in-situ wafer bow measurements can be used for immediate re-calibration of precursor settings after reactor maintainance.Please find more details in the following presentation.

2014
all 58, 57, 56,
27. 二月 2014, 13:23 in  LED/
Crack free a-plane GaN layers with EpiCurve® TT AR


At the annual conference of the German Society for Crystal Growth (DGKK) last December, Matthias Wieneke of Otto-von-Guericke University in Magdeburg (Germany) reported about the impact of LT AlN IL on a-plane GaN films. For the studies, his team applies EpiCurve® TT AR – an in-situ metrology system with advanced curvature resolution (AR). We summarized the result of the study in the February issue of our newsletter

2014
all 56,
27. 二月 2014, 13:17 in  Research/
In-situ metrology for graphene growth


For several years, graphene has been the subject of intense research activity aimed at exploiting its unique properties. Although the growth of graphene is a very new and complex process, LayTec has developed a solution for in-situ reflectance analysis of the surface changes during growth. For details of the study and the first tentative results please visit our website page Advanced R&D or ask for our Graphene Application Note via info@laytec.de

2014
all 60,
17. 十二月 2013, 14:24 in  Laser/
Strain management of GaAs based laser structures


To reduce the wafer bow of GaAs-based laser structures at room temperature, our customer Dr. Andre Maaßdorf and his team at FBH Berlin (Germany) have developed a special scheme for strain engineering: they add phosphorus (P) and replace AlGaAs by AlGaAsP in a distributed manner. Find more about this research on our website.

2013
all 57,
17. 十二月 2013, 14:19 in  Power Electronics/ Laser/ LED/
Precise heating zone control of epitaxial reactors


Dr. Tony SpringThorpe of the National Research Council of Canada reported recently about fast and easy temperature calibration of all 3 heating zones of his showerhead reactor. He uses LayTec’s AbsoluT for calibration of EpiTriple TT that monitors the growth of As- and P-based devices by 3 measurement heads at 3 different viewports. You can find the summary of his report in the December issue of our newsletter.

2013
all 58, 57, 56,
05. 十一月 2013, 10:55 in  Research/ Laser/ LED/
Strain engineering for HVPE GaN growth


A major challenge of the HVPE GaN growth is the bowing of the free-standing GaN after its self-separation from the sapphire substrate. With LayTec's in-situ system EpiCurve® TT researchers at the Ulm University in Germany proved that the initial strain of the MOVPE template has a great impact on the final bowing of HVPE samples and on the remaining curvature of separated GaN wafers. The tool helped the team optimize the growth conditions during MOVPE template growth and monitor the strain during the subsequent HVPE bulk growth. Please find the report summary and the in-situ measurement data from Ulm in our newsletter.

2013
all 60, 57, 56,
29. 十月 2013, 14:20 in  PV/
In-line CIGS monitoring in high-volume PV production


To improve the production process of Cu(In,Ga)Se2 thin film modules, it is essential to ensure the quality of the absorber. For this purpose, LayTec‘s spectroscopic photoluminescence (PL) system PearL has been evaluated by the German solar equipment and module manufacturer Manz CIGS Technology GmbH. Measurements on more than 2000 production and test modules proved that PearL has numerous advantages under production conditions:

  • reliable CIGS characterization across the full module length at different points
  • spatially resolved information about band gap, material composition and quality of the CIGS absorber material
  • absorber parameters are reported directly after its deposition without interference of other layers
  • faulty substrates are identified before the cost-intensive back-end processing
  • the measurement time of PearL is only 0.1 s, which makes the tool much more appropriate for high volume production than X-ray fluorescence 

You can find the complete report with measurement data in our latest in-line newsletter

2013
all 59,
29. 十月 2013, 14:00 in  LED/ Organic materials/
LayTec monitors flexible OLEDs in roll-to-roll processes


In the last 2.5 years, a group of leading German companies and research institutions collaborated in a joint project on the production of highly efficient organic devices on flexible substrates used in a novel roll-to-roll process technology. LayTec in-line GmbH was part of this R2flex consortium, which was co-funded by the German government, and contributed to its work with metrology expertise. Recently, the results were officially announced at www.printedelectronicsworld.com.

Within this collaboration, LayTec developed optical methods for in-line characterization of complex organic layer structures regarding layer thickness and homogeneity. Direct optical measurements can now overcome the shortcomings of standard quartz measurements. According to Tobias Schenk, the president of LayTec in-line GmbH, “This cooperation paved the way for LayTec‘s competence in OLED applications. The newly developed methods and algorithms can now be transferred into production of organic structures and are already applied in other LayTec systems used for roll-to-roll processes. We are proud to be a part of the organic revolution on the LED market.“

For further information please contact mail@laytec.de

2013
all 56, 54,
24. 十月 2013, 10:22 in  LED/
EPISTAR qualified Pyro 400 for GaN LED production


LayTec is proud to announce that Epistar Corp. has qualified LayTec´s in-situ metrology system Pyro 400 for its GaN LED production. The worldwide leading LED manufacturer based in Taiwan will now use high accuracy GaN surface temperature sensing with Pyro 400.
The head of the Epitaxy Engineering Division at Epistar commented: "We are satisfied with GaN surface temperature measurement provided by Pyro 400. The tool helps us further improve MOCVD controllability and LED production yield."
Mr. Tom Thieme, director marketing & sales at LayTec, commented, “We thank the team at Epistar for working together with LayTec’s application engineers so closely. Through collaboration with this important and innovative customer, we have established our UV pyrometry tool in Epistar’s daily LED production application. We successfully demonstrated that precise wafer surface temperature control gives room for even further LED yield improvement and cost reduction.”
Learn more about Pyro 400 on our website
or download the PDF of the press release

2013
all 56,
30. 九月 2013, 11:00 in  Research/ PV/ Power Electronics/ Laser/
Compound Semiconductor reports: Boosting triple-junction yield with in-situ monitoring


In-situ monitoring provides a great deal of valuable information for developers and producers of multi-junction solar cells. It can determine interface quality; the thickness, doping level and composition of every layer as well as wafer bow. Compound Semiconductor Magazine published an article that reports about the application of LayTec’s in-situ metrology tools for development and production of concentrator photovoltaic cells (CPV). Follow this link to read the article

2013
all 60, 59, 58, 57,
29. 八月 2013, 10:25 in  Research/ Laser/ LED/
Customers present latest in-situ data of nitride growth at our in-situ seminar (ICNS)


On August 25, LayTec‘s 18th international in-situ seminar took place in conjunction with the ICNS in Washington, USA. We were pround to welcome international experts who presented their latest in-situ results of nitride growth monitoring:

  • Alois Krost (Otto-von-Guericke University Magdeburg, Germany): Growth and characterization of GaN on Silicon wafers
  • Yvon Cordier (CNRS-CRHEA, France): Assessment of strain in GaN films by using in-situ and ex-situ characterization techniques
  • Fabrice Oehler (University of Cambridge, UK): Currentchallenges for in-situ monitoring of polar and semi-polar III-Nitrides

Neil Gerrard, managing director of LayTec UK Ltd, presented a newly developed method for pre-selecting patterned sapphire (PSS) wafers according to pre-bow and PSS uniformity. Our customers took the opportunity to check their PSS wafers on-site.

LayTec‘s head of R&D Kolja Haberland had a talk about our new product developments. Oliver Schulz, the chief customer officer of LayTec, explained the new concept of LayTec Premium Care.

You can download the talks here

2013
all 60, 57, 56,
29. 八月 2013, 10:20 in  Laser/
Optimization of InGaAs metamorphic buffer for laser diodes


Temperature characteristics of laser diodes (LDs) on GaAs substrate depend on the quality and the thermal resistance of InGaAs metamorphic buffer layer. At the Electronic Materials Symposium (July 2013, Japan), NTT Photonics Laboratories (NTT) presented a new method for optimization of the metamorphic buffer layer for freestanding quasi-InGaAs substrates.
Mr. Ryo Nakao from NTT uses LayTec‘s in-situ metrology system EpiCurve® TT to improve layer thickness and indium content in order to fabricate a thin InGaAs metamorphic buffer with low thermal resistance. The in-situ tool helps understand the MOVPE growth conditions and shows the changes in wafer curvature caused by residual strain during MOVPE growth.
Please find the details of the research including in-situ measurement screenshots in our PDF newsletter

2013
all 57,
20. 八月 2013, 16:30 in  PV/
Polyolefins - the new encapsulant for solar modules now taken under control


Recent tests performed with a major industrial customer demonstrated that LayTec‘s X Link metrology system can be applied for evaluation of the cross-linking degree of polyolefins just as easy as for the well established EVA. Find the details about the tests in our newsletter

2013
all 59,
10. 七月 2013, 15:55 in  Company News/ PV/
LayTec’s metrology system X Link wins "Intersolar Award 2013"

 

LayTec in-line GmbH has won this year’s Intersolar AWARD in the category “PV Production Technologies“ for X Link – a revolutionary metrology system for non-destructive evaluation of the EVA* cross-linking level immediately after lamination. Every year, the North America Intersolar Jury recognizes the best of the best in solar projects and photovoltaic (PV) production technologies. The winners in the PV Production Technologies category were judged on the degree of technological innovation, technical and environmental benefits, economic benefits and proof of innovation.
LayTec’s X Link was developed in collaboration with the leading applied research organization Fraunhofer USA and is the only non-destructive system available today for the inspection of EVA cross-linking. The tool can be integrated into every solar module production line and provides for long-term process stability, high yields, 100 % quality and low production costs.
The AWARD was announced on 10 July at the Intersolar North America exhibition and conference in San Francisco. According to Intersolar event coordinators, the introduction of the PV Production Technology category is a nod to the region’s reputation as the epicenter for the semiconductor industry and related technologies.

For further information please contact mail@laytec.de
or visit www.intersolar.us/en/news-north-america/intersolar-news.html

*EVA = ethylene vinyl acetate

2013
all 61, 59,
04. 七月 2013, 14:42 in  Company News/ PV/
Meyer Burger and LayTec announce cooperation

 

LayTec is proud to announce its cooperation with Meyer Burger, a global technology group specializing in systems, product equipment and services along the photovoltaic value chain including the manufacturing processes for wafers, solar cells, solar modules and solar systems (www.meyerburger.com). Combined efforts of both companies resulted in the world’s first fully integrated EVA cross-linking metrology solution for PV module production lines.
Metrology experts of LayTec and lamination specialists of Meyer Burger together developed a stream-lined solution for process optimization and yield enhancement in module manufacturing lines. The measurement heads are directly integrated in the cooling press of Meyer Burger laminators. They facilitate closed loop process control and 100% quality assurance of EVA lamination. The system fully replaces slow, error-prone and costly off-line analysis methods.
Philippe Baur, CEO Meyer Burger Division Module, says: "Until recently, the guaranteed life-time and long term stability of a module was calculated by carrying out destructive off-line tests on less than 1% of the modules and then statistically extrapolating the results for end customers. By integrating original OEM technology into the non-destructive lamination process control, our customers will now be able to undisputedly prove the long-term stability of 100% of their modules.”
Tobias Schenk, president of LayTec in-line GmbH, adds: “Based on in-line and off-line X Link technology, certifiers can now refer to a non-destructive and repeatable standard method for line and fab certifications.”
An upgrade of existing Meyer Burger laminators is possible.
For further information please contact mail@laytec.de or visit the website of Meyer Burger with the detailed information about the integration of X Link.

2013
all 61, 59,
25. 六月 2013, 10:30 in  PV/ LED/
A breakthrough in nanowire growth: Quantitative in-situ monitoring for process optimization


LayTec and the Nanometer Structure Consortium at Lund University (nmC@LU) in Sweden have jointly developed a solution for  real-time quantitative monitoring of III-V nanowire growth. The team of Prof. Lars Samuelson used LayTec’s spectroscopic in-situ reflectometer EpiR to monitor the nanowire epitaxial process in an AIXTRON 200/4 reactor. You can find more on our Nanowire page!

2013
all 59, 56,
13. 六月 2013, 17:05 in  PV/
50 nm thin layers monitored with astonishing precision by SolR®


A successful application of SolR® by a confidential industrial customer has proven the extreme sensitivity of the in-line metrology tool. In-line measurements of thickness homogeneity directly after deposition of a thick CIGS absorber layer and a thin CdS buffer layer of less than 50 nm showed that the thickness is inhomogeneous, however, all modules have a similar pattern of thickness distribution. The CIGS layer is typically thinner in the center than at the edges, the CdS layer has an S-shaped thickness distribution. Furthermore, in case of the CdS layer, a certain systematic variation of the production line has been identified by statistical process analysis: the mean CdS thickness (median) increases with the progress of the process time.
For the screenshots of the measurements and for further information please download our newsletter.

2013
all 59,
13. 六月 2013, 17:00 in  Glass/ Company News/ PV/ Display/
Bergen is LayTec‘s new sales a service partner in India


LayTec in-line GmbH has signed a distribution agreement with Bergen– a leading service and sales company for photovoltaic (PV) and electronic manufacturing industries in India. Bergen has 20 years experience of representing leading international technology companies in India and is a part of an extensive network within different industrial branches. We are confident that Bergen is an ideal partner to introduce LayTec‘s in-line metrology for PV manufacturing and other large area thin film applications in India!

2013
all 62, 61, 59, 55,
04. 六月 2013, 14:18 in  Company News/ Power Electronics/ Laser/ LED/
In-situ seminar at EWMOVPE was attended by more than 100 customers


You can find a short report with topics and speakers in our newsletter  or ask info@laytec.de for the password to download the talks.

2013
all 61, 58, 57, 56,
28. 五月 2013, 00:00 in  Power Electronics/ LED/
EpiCurveTriple TT used for GaN on 200 mm diameter silicon at IMRE

 

In his invited talk at the LED Technology Forum in Singapore (7-10 May), Dr. Sudhiranjan Tripathy of IMRE (Institute of Materials and Research Engineering, A*STAR) revealed the latest results of GaN growth on 200 mm diameter silicon. His team uses LayTec‘s in-situ monitoring system EpiCurve®Triple TT in the development of epiwafers for InGaN/GaN light emitting diodes and GaN power electronic devices. EpiCurve®Triple TT is ideally suited for 200 mm real time wafer characterization because of its 3 sensor heads for radial analysis of growth conditions. It provides wafer bow and temperature measurements, as well as simultaneous detection of growth rate and surface morphology.
According to Dr. Tripathy‘s team, LayTec in-situ metrology is a key element for identifying the epitaxial process optimization potentials. In comparison to the time consuming, destructive ex-situ cross section transmission electron microscopy analysis, the in-situ tool provides real time information on growth thickness and homogeneity already during growth. LayTec’s system has reduced significantly IMRE‘s R & D cycles for epitaxial growth optimization and enables faster industrialization of the GaN on Si technology.

To learn more, please download our newsletter.

2013
all 58, 56,
22. 五月 2013, 00:00 in  Company News/
LayTec announces OEM partnership with Evatec Ltd.


LayTec has signed a strategic OEM agreement with Evatec Ltd. (Switzerland, www.evatecnet.com) – a leading supplier of thin film deposition systems to semiconductor and optics. LayTec will equip Evatec with high precision in-situ metrology tools for versatile process control. Evatec’s evaporation, sputter and PECVD systems find their applications in the MEMs, optoelectronics, precision optics as well as semiconductor markets.
The OEM partnership enables an ongoing close cooperation for integration of advanced in-situ metrology in Evatec’s reactors. LayTec’s metrology tool EpiCurve® TT is already successfully applied on Evatec sputter systems for stress management of metal multi-layers for opto-electronic. EpiCurve® TT enables strain-engineering of the multi-layer structures by real-time tuning of the sputter parameters! The results were presented at CS International Conference in March 2013.
Evatec’s Senior Process Engineer Silvia Schwyn Thöny commented: “With LayTec’s state-of-the-art in-situ metrology we are able to meet the growing demands on precise process control and optimization, which is the key to high yields and low costs.”
„For LayTec, an OEM cooperation with Evatec is of strategic importance”, said LayTec‘s CEO Dr. Thomas Zettler. “It will enable implementation of our metrology into processes beyond LayTec’s established applications. And when Swiss precision meets German quality standards, the expectations can never be too high.”

2013
all 61,
30. 四月 2013, 00:00 in  PV/
X Link now available for off-line control of EVA cross-linking degree


LayTec’s new off-line metrology system X Link provides fast, automated, non-destructive
and accurate evaluation of EVA cross-linking degree immediately after lamination. It can be integrated in every solar module production line and offers 100% coverage for process and quality control. The high precision measurements are performed through the backsheet without damaging the laminated surface. They take only a few seconds, do not influence the performance of the tested module and have a precision of ±1.5%. Learn more on X Link product page and in our newsletter.

2013
all 59,
14. 三月 2013, 00:00 in  Research/ Power Electronics/ Laser/ LED/
LayTec launches a new version of EpiCurve TT


The new EpiCurve® TT is specially designed for single-port reactors with small viewport geometries and combines curvature measurements by a blue laser with reflectance
measurement at 405 nm. The blue laser is a must for patterned sapphire substrates (PSS) and double-side polished substrates. The 405 nm reflectance is indispensable for monitoring of InGaN MQW growth. Until now, it was impossible to have both features for reactors with only one small optical access because of the cross-talk effect. The new optical and electronic design of EpiCurve® TT eliminates this problem. The latest version of the tool has been installed on an Aixtron 200-4 RF/S reactor with only 5 mm hole in the ceiling at Otto-von-Guericke University of Magdeburg (Germany). Learn more in our newsletter and on EpiCurve® TT product page

2013
all 60, 58, 57, 56,
13. 三月 2013, 00:00 in  Research/ Power Electronics/ Laser/ LED/
Fast and easy growth analysis on Patterned Sapphire Substrates (PSS)


In the next years, the use of PSS will further increase due to its high light extraction efficiency. Following this trend, LayTec‘s in-situ metrology software EpiNet 2 can be individually customized for various kinds of PSS.... more

2013
all 60, 58, 57, 56,
18. 二月 2013, 21:52 in 
Next Generation UV Pyrometry - Pyro 400 Gen 2


LayTec has launched the next generation of ultra-violet pyrometry for HB-LED production: Pyro 400 Gen 2. Alongside with in-situ ultraviolet (UV) pyrometry, the new in-situ tool includes real-time UV emissivity correction for enhanced accuracy of GaN surface temperature during growth of more complex LED structures. Find more on the Pyro 400 product page or in our newsletter.

2013
all
10. 一月 2013, 00:00 in 
Dr. Oliver Schulz appointed as Chief Customer Officer at LayTec


LayTec‘s Application and Support Department (A&S) is now headed by the new Chief Customer Officer (CCO) Dr. Oliver Schulz, effective since January 1. Dr. Kolja Haberland, who has headed both A&S and R&D by end of 2012, will now fully focus on his R&D work as Chief Technology Officer (CTO). Dr. Oliver Schulz, LayTec‘s Sales Manager for Korea in 2010-
2012, is very familiar with the needs of our customers in Asia. In close collaboration with Dr. Marcello Binetti, who has been successfully heading our support team for several years, Oliver will help us to even further improve LayTec‘s service quality in all global technology regions. With his academic and industrial background in growing III-Nitrides on silicon, Oliver will also strengthen our Application Engineering to support our customers in technology change towards larger silicon substrates. „The new company structure is our answer to the market challenges. When the number of players grows and the quality expectations are getting higher, it is a must to deliver extraordinary after-sales service and to stay ahead by investing even more in new metrology developments,“ said LayTec‘s CEO, Dr. Thomas Zettler.

2013
all
19. 十二月 2012, 00:00 in 
Advanced stress control of GaN on Silicon(001) with EpiCurve TT during HEMT growth

 Download our PDF newsletter

The challenges of GaN growth on Si(111), especially for LED growth, are well known and meanwhile controllable. Cooldown-assisted layer cracking as a result of high tensile
stress can be prevented and crystal quality can be enhanced by sophisticated interlayers. Numerous institutions worldwide are already using LayTec‘s EpiCurve® TT with advanced
curvature resolution for high quality GaN devices on large scale Silicon substrates.
Now, this experience is being transferred to growth on Si(001) and Si(110), because GaN based power electronics can be easily integrated with Si standard electronics (CMOS) and
is available in large sizes up to 300 mm.
At the International Workshop on Nitride Semiconductors (IWN, Japan, October 2012), Jonas Hennig of Otto-von-Guericke Universität Magdeburg (Germany) reported about high performance of GaN HEMT structures on Si(001) with highly optimized interlayers to control stress and defect density. According to Mr. Hennig, in-situ growth monitoring by EpiCurve® TT is a great help for their strain engineering. Find more in the  PDF newsletter.

2012
all