EpiCurve® TT 제품계열:응력제어가 가능!

대구경 웨이퍼(4", 6" or 8")에서 난관 극복을 위해서는 , EpiCurve® TT가 필요하다. 이것은 EpiTT의 모든 기능과 웨이퍼의 휨측정 기능을 결합한것이다.: 방사보정한 온도측정, 세파장을 이용한 성장속도 및 두께측정. 이것은 크랙발생을 방지하고, 플랫하고 웨이퍼와 균일한 온도제어를 가능하게 한다. 모든 웨이퍼 휨측정 장비는 웨이퍼의 비대칭휨을 측정 할 수 있는 Advanced Resolution (AR)휨측정 기능을 적용 할 수 도 있다.(EpiCurve® TT AR).

LayTec has customized and expanded EpiCurve®TT's performance for VCSEL epitaxy: an additional measurement head for spectral reflectance sensing can be mounted on a conventional EpiCurve®TT system. This allows integrating the full EpiCurve®TT performance with the spectral monitoring of DBR stop-bands and cavity dip position. ...more

 

LayTec은 EpiCurve® TT를  EpiTT계열과 조합하여 사용 할 수 있는 제품들을 제공한다.: EpiCurve®Twin TT는 독립위치에서 온도와 반사율을 측정하는 두개의 EpiTT 헤드를,   EpiCurve®Triple TT는 세개의 EpiTT헤드를 가진다.

 

Sapphire 나 SiC처럼 IR에 투과되는 기판일 경우, 일반 적외선 infrared (IR) 온도계는 포켓 온도를 측정하게 된다. LayTec의 Pyro 400은 sapphire 나SiC의 GaN표면온도를 직접 측정하여InGaN MQW층의 온도제어를 직접 가능하게 한다. Pyro 400과 EpiCurve® TT의 조합은blue,  green LED 및 기타laser diodes의  quantum well성장에 최적으로 실시간으로 모든 성장요인들을 제공한다.

 

EpiTT 광학헤드를 가지는 저희 제품을 위하여AbsoluT라는 온도 교정기기를 제공한다. 작은 크기로 휴대가능하며 다른 장비 및 다른 링에 설치된 각EpiTT헤드를 정확히 동일한 절대 온도로 교정하여 링간, 장비간, 매 Run간 동일한 온도 교정을 가능하게 한다.

 

LayTec의 모든 in-situ system은 공정 적합화, 분석 및 제어 적합하도록 설계한 LayTec software를 사용한다.    이 소프트웨어는 MOCVD 라인에서 여러 시스템을 제어함이 가능하여, 모든 Run을 동시에 감시할 수 있고 작업자가  Advanced Process Control (APC)기능에 기초하여 장치의  정지 혹은 진행 결정할 수 있도록 지원한다.

 

EpiCurve® TT계열의 각 제품은 모든 MOCVD장비에 적용 가능하며 이는 satellite 장비, showerhead 장비, R&D 및 고객특화 MOCVD 성장 장비등에 적용가능하다. 더욱 자세한 내용은 EpiCurve® TT사양서를 보시기 바람.

 

Detecting thin-film strain in-situ during epi growth through the tiny openings of the showerhead view-ports is a challenge. However, with our advanced software algorithms, in-situ strain balancing or AlGaN lattice constant tuning is now possible with accuracy levels formerly known only for ex-situ XRD methods. ...more

 

LayTec의 센서는 Riber, Veeco, DCA 및 VG사등의 MBE장비에도 적용할 수 있다. 다른 장비 및 고객사가 자가 제작한 장비에도 설치가능하며 더욱 상세한 자료는, 아래의  저희 Application Notes(응용소개)자료를 참조바람: EpiCurve® TT for MBE

 

To see LayTec in-situ metrology live, you can watch a video that we have taken at a customer site. In a short lab tour at FBH Berlin in Germany you will see how EpiCurve® TT and EpiRAS TT monitor LED and laser growth and help optimize the processes. ... more

 

Product information

Fields of application

  • GaN LED and laser diode production
  • III-Nitride growth on silicon
  • GaAs/AlGaInP/InP laser diode production
  • Triple-junction solar cell production on GaAs, Ge, Si
  • SiC for power electronics
  • R&D for new materials and devices

Product Features

Curvature:

  • Wafer-selective curvature measurements in the curvature range from -7000 km-1 (convex) to +800 km-1 (concave)
  • Versions with a blue laser provide measurements on double-sided polished and patterned substrates
  • Aspherical bowing curvature measurements with an Advanced Resolution (AR) option


Emissivity Corrected Pyrometry:

  • Temperature range: T = 450°C to 1300°C for large viewport systems / T = 500°C to 1400°C  for narrow viewport systems / other temperature ranges on request, e.g. 1500°C for UV LED applications, 1700°C for SiC
  • Accuracy better than 1 K
  • Wafer and area selective measurements
  • True wafer temperature for opaque semiconductors such as InP, Graphite (SbS)
  • Pocket temperature for GaN, Sapphire and SiC


Reflectance at three wavelengths:

  • 950 nm, 633 nm and 405 nm
  • growth rate, layer thickness, roughness and other layer qualities

Downloads