Press Releases

Press Releases

04. 5月 2023, 11:52 in 
Supervisory Board extends contracts ahead of time for Volker Blank and Kolja Haberland as LayTec’s managing board

The Supervisory Board of LayTec AG has extended the contracts of the two members of the
Managing Boardahead of schedule: Volker Blank and Dr. Kolja Haberland were appointed
for additional five years to continue intheir current role. This decision of the Supervisory
Board is a clear signal of continuity and stability, essential forthe further growth and
development of LayTec.

“We are looking back on two successful years and are ready to take on new challenges.
As part of our roadmap, we are intensively working on product diversification by
implementing new products for new applications toposition LayTec even more strongly
for the future”, says Kolja Haberland.

“Our focus is to continue and strengthen the successful and fruitful cooperation with our
customers, partners andsuppliers to provide the best possible solutions at the highest quality.
Additionally, we would like to thank the members of the Supervisory Board for the trust
they have placed in us and look forward to continuing our goodwork together “, adds Volker Blank.
 

2023
all
07. 7月 2022, 11:50 in 
LayTec to present its new EpiX cassette-to-cassette (C2C) mapping station at ICMOVPE XX in Stuttgart, Germany

After introducing its EpiX mapping station some years ago, LayTec is now bringing its newest EpiX generation to the market. The first demo unit of this novel metrology system will be displayed at LayTec‘s booth at ICMOVPE XX in Stuttgart, Germany from 10-14 July 2022.

Just like previous EpiX generations, the novel system is equipped with white light reflectance and multi-wavelengths photoluminescence spectroscopy for wafer inspection.

Now the system has been equipped with fully automated wafer handling and cassette-to-cassette wafer loading to also serve the requirements of high-throughput industrial applications. Detailed information can be found in the new EpiX data sheet.

Dr. Johannes Zettler will also give a detailed presentation about this new product at LayTec‘s in-situ seminar at ICMOVPE XX. For registration, please click here.

The LayTec team is looking forward to welcoming you at the LayTec booth at ICMOVPE XX.

2022
all
07. 4月 2021, 11:08 in 
Knowledge and Power: Oxford Instruments Plasma Technology and LayTec join forces to provide critical front end processing solutions for the production of compound semiconductor devices

Two technology leaders within the compound semiconductor industry, Oxford Instruments Plasma Technology and LayTec announce an exclusive collaboration agreement to enable the next generation requirements of advanced semiconductor devices in the high-volume manufacturing (HVM) environment. The partnership aims to develop and integrate LayTec’s accuracy and control with Oxford Instruments’ renowned wafer processing expertise.

Together, they will combine plasma process solutions with proven in-situ metrology to achieve next generation device performance and enable a repeatable HVM process to shorten customers’ yield ramp. LayTec will develop the in-situ metrology while Oxford Instruments will integrate LayTec’s control with its advanced wafer processing solutions to deliver an enhanced solution to the customer.

Driven by market demands for efficient power conversion, the IoT and datacomms compound semiconductor devices based on materials such as GaAs/InP, SiC or GaN, are becoming increasingly used due to their superior performance. However, challenges remain to move the technology from small prototypes to wafer scale, HVM. While device dimensions are relatively large, the often-complex layer structure means that acute accuracy of processing within these layers is required to realise the required process stability and yield to drive down the cost per wafer and accelerate adoption into the target application.

Volker Blank, CEO, LayTec comments: “LayTec is very excited to take the next step in widening its product portfolio with an innovation leader like Oxford Instruments. This technology partnership allows us to expand further along the process chain in one of our traditional core markets by applying our key knowledge of data analysis and integration of customised high-precision optical metrology systems. After serving our customers in the compound semiconductor industry for more than two decades, we look forward to this new opportunity to support our customers in further processes and device optimization.”

Frazer Anderson, Innovations & Solutions Director at Oxford Instruments states: “This is a crucial next step in the implementation of our product development strategy and the accelerated timing reflects the recent momentum we’ve seen in the markets we serve. It also underlines our commitment to deliver continuous productivity improvements to our customers.”

Anderson continues: “As we enter a very exciting period of innovation and growth, it is our firm intention to meet the requirement of improved performance and reduced cost of ownership objectives necessary to support needs of the emerging GaN power and RF market. This collaboration with LayTec will further increase our ability to be able to deliver both requirements.”

LayTec is a global market leader of integrated metrology for thin-film deposition and other high-value generating processes. Oxford Instruments is a recognised technology leader in compound semiconductor processing with a range of proven wafer processing solutions installed at HVM customers. Combining Oxford Instruments’ stable plasma processing platform with LayTec’s innovative and precise end point technology in plasma etching applications allows the control and repeatability needed to increase the wafer-to-wafer yield. The synergy of joint development and exclusive supply agreement will allow the expertise in both companies to develop and supply unique HVM ready solutions for the evolving needs of the compounds industry. The long-term agreement will cover developments on the entire range of Oxford Instruments’ plasma etch and deposition systems with shared rights to the Intellectual property (IP) produced and coordinated marketing activities. The delivery of the first joint customer solution is targeted for H2 of 2021.

Download the Press Release
 

2021
all
26. 2月 2020, 11:27 in  Company News/ PV/ Power Electronics/
Astrum LT chooses LayTec’s mapper for VCSEL manufacturing

LayTec is very pleased to announce that Astrum LT has chosen LayTec’s mapping station EpiX for its VCSEL production in Czech Republic. The stand-alone metrology tool will help Astrum LT to determine post-growth wafer uniformity and better understand the growth process in their MOCVD reactor.
LayTec’s EpiX mapping stations combine spectroscopic white-light reflectance and photoluminescence detection with an XY-mapping stage and provide a comprehensive 2D analysis of optical wafer properties by non-contact measurement. In combination with the in-situ results of the EpiCurve® TT VCSEL installed on their MOCVD system, Astrum LT will be able to correlate live run data, like growth-temperature DBR mirror or cavity position, with the room-temperature results from the EpiX mapping station.


Download the Press Release


2020
all 61, 59, 58,
28. 1月 2020, 11:22 in  Company News/ PV/ Power Electronics/
Flisom chooses LayTec for CIGS quality control

LayTec proudly announces that Flisom AG chooses LayTec to supply an advanced at-line mapping inspection tool for quality assurance in their CIGS (Cu (In,Ga) Se2) thin film production line. The metrology tool will help Flisom to maintain maximum yield in manufacturing of light-weight flexible CIGS photovoltaic modules.
LayTec’s stand-alone metrology system inspects film thickness, reflectivity, transmittance and sheet resistance by automated roll-to-roll mapping, whilst allowing flexible adaptation of the mapping mode. Hence, all key layers of the thin film stack will be monitored regarding layer quality and homogeneity. This will allow Flisom to implement an even tighter quality assurance scheme and to obtain further insights into the correlation of thin film parameters and device performance.


Download the Press Release


2020
all 61, 59, 58,
12. 12月 2019, 12:51 in  Company News/ PV/ Power Electronics/
LayTec to equip new RIBER cluster-tool for CIGS with multiple metrology systems

LayTec proudly announces that RIBER and its customer ZSW have chosen LayTec to supply a multi-station metrology system for monitoring and controlling CIGS (Cu(In,Ga)Se2) solar cell growth. The LayTec multi-station metrology system will monitor multiple deposition steps and will comprise both in-situ and in-line methods including spectral reflectance, emissivity-corrected pyrometry and photoluminescence. Beyond providing in-depth data for process analysis, the metrology systems will be directly embedded into the control software CRYSTAL XE of RIBER’s automatic platform combining two clustered 4” MBE 412 systems, as well as further deposition technologies. With LayTec’s metrology fully integrated into the new cluster tool, ZSW expects to get deep insights into the effects governing the deposition processes for the manufacturing of CIGS thin-film solar cells. Resulting further process improvements are intended to boost CIGS efficiency to values above 25%, i.e. beyond the current world record value of 23.4%.


Download the Press Release


2019
all 61, 59, 58,
22. 5月 2018, 09:12 in 
VPEC chooses LayTec for VCSEL manufacturing

LayTec proudly announces that Visual Photonics Epitaxy Co. Ltd. (VPEC) of Taiwan has chosen LayTec’s advanced in-situ metrology products for its VCSEL production. Supporting the global VCSEL chip demand for 3D sensing and other rapidly growing applications, LayTec’s dedicated VCSEL Add-On to EpiTT and EpiCurve® TT provides additional in-situ spectral reflectance sensing and can be customized in wavelength range for GaAs based and InP based NIR / IR VCSEL processes. It enables high-yield manufacturing of these very complex multi-layer device structures.

The LayTec VCSEL Add-On is also available as an upgrade to existing EpiTT or EpiCurve® TT systems. Equipped with advanced real-time analysis algorithms it monitors DBR stop-bands and cavity dip position during VCSEL epitaxy in addition to EpiTT’s wafer temperature and growth rate, as well as EpiCurve® TT’s wafer bow measurements.

Neil Chen (VPEC – President office SVP): “VPEC has choosen LayTec’s market leading in-situ process technology for VCSEL wafer manufacturing as an essential part for accomplishing our high standards in quality and yield. Combining existing control of wafer temperature, wafer bow and growth rates with the new spectral monitoring capabilities is a key for VCSEL foundries in terms of process transfer, rapid recipe changes and scaling up.”

Volker Blank (LayTec - director marketing & sales): “LayTec is honored that the globally leading GaAs foundry VPEC, with its high standard in manufacturing of VCSEL wafers for 3D sensing, automotive and other application, chooses LayTec’s advanced inspection systems to deliver highest quality. Tight process control is here the key to success.”

 

Download the Press Release

2018
all
01. 6月 2017, 08:01 in  PV/
CTF Solar chooses LayTec for new TF-PV fab in China

LayTec proudly announce that CTF Solar, a wholly owned company of CTIEC (part of the CNBM group), chooses LayTec’s advanced in-line process inspection system for their new 80 MW/a CdS/CdTe thin film solar cell production line in P.R. China. All key layers of the solar cell structure are monitored regarding production quality and yield.
LayTec delivers a multi-station in-line inspection system. The system inspects the incoming TCO coated float glass and generates valuable SPC data of the CdS/CdTe solar cell structure by highly precise measurement of relevant film characteristics. The multi station system is fully integrated into the production line and continuesly delivers comprehensive analytical data to the local MES host.
Tom Thieme (LayTec - director marketing & sales): ”LayTec is honored that a global leading company as CNBM, with global recognition of manufacturing front glass solar panels, is choosing LayTec’s advanced inspection systems to deliver highest quality Made in China. This decision supports the ongoing transition to move from quantity to manufacture sustainable PV cell quality. Process knowledge is here the key to success.”
Dr. Michael Harr (CTF Solar – CEO): ”CNBM has the highest demands on quality and yield for our new CdS/CdTe fab in Chengdu. The best process knowledge and deviation awareness at the earliest stage is a fundamental key stone for sustainable PV cell production and world leading quality. LayTec’s market leading technology for in-line inspection is essential for our high demands on quality and yield.”

Download the Press Release

2017
all 59,
10. 11月 2016, 11:11 in  Company News/ Power Electronics/ Laser/ LED/
LayTec’s 2000th in-situ tool delivered to Compound Semiconductor Centre


LayTec is proud to deliver its 2000th in-situ metrology system since its foundation in 1999! An EpiTT with the figure 2000 in its serial number has been shipped to Compound Semiconductor Centre (CSC, Cardiff, UK) – a joint venture between compound semiconductor specialists IQE and Cardiff University.
CSC works on providing a complete capability value chain from high-end R&D through product and process innovation to high value, large-scale manufacturing. According to Dr. Wyn Meredith, Director of CSC, “This EpiTT and other LayTec systems already installed in our labs provide unrivalled precision and sophisticated analysis algorithms, which is crucial for process optimization in semiconductor manufacturing environment.”
LayTec's founder and CEO Dr. Thomas Zettler commented: "It is significant that our 2000th in-situ tool is delivered to a research institution with a strong connection to industry. LayTec has always set a great value on cooperating with both industry and R&D. Until now, we have equipped hundreds of customers worldwide with state-of-the-art metrology, mainly in the field of LED and laser production. In the last few years we also entered the PV, display and advanced silicon markets. Meanwhile, our product portfolio covers all areas of process monitoring: in-situ, in-line, lab-line and map-line metrology. Due to this market diversification, we believe to deliver the next thousand tools much faster than before. We are proud that our tools make the related industries more effective and more productive."

2016
all 61, 58, 57, 56,
31. 10月 2016, 10:52 in  PV/
Astronergy installed X Link® for in-line lamination control


LayTec is happy to annoucne the installation of its X Link® in-line at Astronergy in China! The tool is integrated into a production line of high-efficiency PV modules for in-line control of EVA or polyolefin cross-linking degree immediately after lamination. It allows for a 100% monitoring of produced PV modules. The measured data is directly fed into the Manufacturing Execution System (MES). The data helps to quickly optimize lamination process for better cross-linking quality by adjusting the heating zones and the duration of lamination. The result is a perfect lamination process, which is the key to high-quality modules. The whole measurement procedure is non-destructive and does not affect the functionality of the tested modules. Learn more about X Link on our website

2016
all 59,
23. 8月 2016, 11:26 in  PV/
REC installed X Link SAM - a mapping system for lamination control


We are proud to announce the installation of LayTec's semi automated mapping system X Link® SAM at REC in Singapore! The tool measures the degree of cross-linking at predefined positions on the module. The measured data is presented as color maps that show the uniformity of the curing state of the encapsulant across the whole module. With a measurement time of less than one minute per point,  X Link® SAM allows a fast feed-back on homogeneity in the lamination process and quick reaction on production issues. In delivery control, the tool checks the quality of the encapsulation, spotting quality issues at a glance. The whole measurement procedure is non-destructive and does not affect the functionality of the tested modules.
Download the Press Release

2016
all 59,
14. 6月 2016, 11:17 in  Company News/ Power Electronics/
IQE equips complete fab with LayTec tools for MOCVD process monitoring


LayTec is proud to announce that IQE plc has purchased a large number of LayTec's latest metrology systems for a fab-wide MOCVD process control. In close collaboration with IQE, we have implemented automated and highly precise new analysis algorithms into our Gen3 metrology tools, which utilize an updated XRD gauged high temperature nk database of AlGaAs. This was the key to meet the demands of the world's leading compound semiconductor wafer foundry. With LayTec's in-situ metrology, the MOCVD systems of the fab can be tuned much faster to new and usually complex processes for best serving IQE's large customer base.
Matthew Geen, Engineering & Operations Director at IQE commented: ”As the global leader in wafer outsourcing IQE is committed to deliver the highest product quality standards to its customers. LayTec’s new unrivalled growth process analysis offers a compelling alternative to expensive calibration runs by enabling us to extract material parameters in-situ during production.”
According to LayTec's CTO Dr. Kolja Haberland: “LayTec is delighted to have worked closely with IQE, the world leading outsource manufacturer of epiwafers, to demonstrate the most advanced in-situ monitoring solutions and new algorithms for analysing critical growth parameters in a high volume, semiconductor manufacturing environment. Our systems cover a complete range of thin-film applications, providing access to all significant thin film growth parameters.”
We are looking forward to the first results at IQE!
Download this announcement in the June issue of LayTec Newsletter.

2016
all 61, 58,
09. 9月 2015, 10:47 in 
REC integrates X Link® in solar panel manufacturing process for EVA encapsulation control


LayTec takes pride that its unique metrology system X Link® fulfills the stringent solar manufacturing requirements of REC. X Link® allows fast non-destructive EVA cross-linkage testing directly integrated in production processes.
For more information please download our press release

2015
all
03. 1月 2014, 11:15 in  PV/
X Link is among PV Tech's Top 10 product reviews


PV Tech - a leading international magazine on the solar PV supply chain - has published the Top 10 most popular new products launched in 2013. LayTec's X Link, which can now be integrated into laminators of Meyer Burger, ranked in 9th position and was acknowledged as the first fully integrated EVA cross-linking metrology solution that eliminates the need for destructive off-line testing. For further information please find the complete report on the PV Tech's website

2014
all 59,
30. 9月 2013, 10:00 in  Research/ Power Electronics/ Laser/ LED/
Compound Semiconductor reports: Boosting triple-junction yield with in-situ monitoring


In-situ monitoring provides a great deal of valuable information for developers and producers of multi-junction solar cells. It can determine interface quality; the thickness, doping level and composition of every layer as well as wafer bow. Compound Semiconductor Magazine published an article that reports about the application of LayTec’s in-situ metrology tools for development and production of concentrator photovoltaic cells (CPV). Follow this link to read the article

2013
all 60, 58, 57, 56,

Contact for press

info@laytec.de