EpiTT combines measurements of temperature and  reflectance at three wavelengths in one tool. For True Temperature (TT), we apply the method of Emissivity Corrected Pyrometry, which delivers the precise surface temperatures of opaque materials at 950 nm (Si, GaAs, InP). For materials that are transparent at 950 nm (GaN, Sapphire, SiC), EpiTT measures the temperature on the top side of the carrier. Measuring reflectance at three wavelengths monitors all essential properties of the growing layers, such as growth rate, film thickness, stoichiometry changes and morphology. 
EpiTT offers industry-standard metrology for all kinds of epitaxial growth systems and is compatible with different main rotation frequencies in the range from 0-1500 rpm.

LayTec‘s EpiTT FaceT for GaAs laser facet coating is an in-situ spectroscopic metrology tool especially designed for accurate temperature measurement during MBE passivation of GaAs laser facets in conjunction with real-time sensing of the passivation layer thickness.
The laser stack temperature can be determined both during cleaning and passivation in a range from room temperature up to 400 °C.
EpiTT FaceT facilitates the real-time determination of the passivation layer thickness in a typical range from 0 - 30 nm.

 

LayTec has customized and expanded EpiTT's performance for VCSEL epitaxy: The new EpiTT VCSEL combines spectral reflectance measurements with the robust and industry proven EpiTT performance. EpiTT VCSEL contains two fiber optical heads: one for a standard EpiTT and one for spectral reflectance sensing. ...more

 

LayTec offers multi-head configurations of tools in the EpiTT family, which are specifically designed for multiple wafer ring reactors. These models have two (EpiTwin TT) or three (EpiTriple TT) optical heads for taking temperature and reflectance measurements at independent positions. Nearly all the LayTec EpiTTs can be upgraded to multi-head systems.

 

Both emissivity-corrected temperature monitoring and reflectance measurement at three wavelengths are included in all products in our EpiCurve® TT family of products. For reactors with multiple wafer ring configurations, the models with two or three EpiTT heads (EpiCurve®Twin TT and EpiCurve®Triple TT) allow for temperature and reflectance measurements at two or three independent positions respectively. ###NOHIDE###

 

For our systems that contain an EpiTT optical head, we offer a unique temperature calibration tool AbsoluT. This small, handheld device sets up exactly the same absolute temperature reference point for pyrometry measurements on each EpiTT head, on different rings and in different reactors and runs, enabling  perfect ring-to ring, reactor-to-reactor and run-to-run temperature calibration.    ... more ###NOHIDE###

 

All LayTec in-situ systems are equipped with LayTec software specially developed for process optimization, analysis and control. Our software solutions can control several systems in an MOCVD fab,  monitoring all runs simultaneously and supporting operators when making “stop or go” decisions based on Advanced Process Control (APC).   ... more

 

To monitor precisely both AlGaN growth rate and surface morphology during UV-C LED epitaxy, LayTec offers an additional 280 nm reflectance channel that employs a UV-C LED as a light source. Learn more on our UV LED page of the website

 

All products in the EpiTT family (EpiTT, EpiTwin TT, EpiTriple TT) are available for various MOCVD growth systems such as:
• systems with satellite rotation
• showerhead-like systems
• systems with main susceptor rotation speed up to 1500 rpm
• R&D type and customized MOCVD growth systems

 

Complex multilayer structures are the backbone of logic and memory devices manufactured nowadays by semiconductor industry. EpiTT UV is the tool of choice for monitoring the growth of complex multilayer stacks in plasma-enhanced chemical vapor deposition (PECVD), atomic layer deposition (ALD) as well as epitaxial methods. ...more

 

LayTec’s sensors are adaptable to many MBE systems by Riber, Veeco, DCA and VG. Adaptation to other manufacturers’ products and custom-built systems is available on request. Find more in our Application Notes:

 

In HVPE applications, EpiTT controls:

  • Surface roughness
  • Growth rate determination of the first 10 µm
  • Reflectance and pyrometry at 950 nm

Find out more in our application note or contact info@laytec.de for further information.

 

Product information

Fields of application

  • GaN LED and laser diode production
  • GaAs/AlGaInP/InP laser diode production
  • Triple-junction solar cell production on GaAs, Ge, Si
  • SiC for power electronics
  • R&D for new materials and devices

Product features

Emissivity Corrected Pyrometry:

  • Temperature range: T=450°C to 1300°C for large viewport systems / T=500°C to 1400°C  for narrow viewport systems / other temperature ranges on request, e.g. 1500°C for UV LED applications, 1700°C for SiC
  • Accuracy better than 1 K
  • Wafer and area selective measurements
  • True wafer temperature for opaque semiconductors such as InP, Graphite (SbS)
  • Pocket temperature for GaN, Sapphire and SiC


Reflectance at three wavelengths:

  • 950 nm, 633 nm and 405 nm
  • Growth rate, layer thickness, roughness and other layer qualities


Full performance up to 1500 rpm main susceptor rotation!

Downloads